SimpleScalar: an infrastructure for computer system modeling, Computer, vol.35, issue.2, pp.59-67, 2002. ,
DOI : 10.1109/2.982917
Hybrid analytical modeling of pending cache hits, data prefetching, and MSHRs, Proceedings of the 41st International Symposium on Microarchitecture, 2008. ,
TPTS: A Novel Framework for Very Fast Manycore Processor Architecture Simulation, 2008 37th International Conference on Parallel Processing, 2008. ,
DOI : 10.1109/ICPP.2008.7
Improving the accuracy vs. speed tradeoff for simulating shared-memory multiprocessors with ILP processors, Proceedings Fifth International Symposium on High-Performance Computer Architecture, 1999. ,
DOI : 10.1109/HPCA.1999.744317
A mechanistic performance model for superscalar out-of-order processors, ACM Transactions on Computer Systems, vol.27, issue.2, 2009. ,
DOI : 10.1145/1534909.1534910
Characterizing the branch misprediction penalty, 2006 IEEE International Symposium on Performance Analysis of Systems and Software, 2011. ,
DOI : 10.1109/ISPASS.2006.1620789
Using interaction costs for microarchitectural bottleneck analysis, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), 2003. ,
DOI : 10.1109/MICRO.2003.1253198
Interval simulation: Raising the level of abstraction in architectural simulation, HPCA, 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture, 2010. ,
DOI : 10.1109/HPCA.2010.5416636
The accuracy of trace-driven simulations of multiprocessors, Proceedings of the ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems, 1993. ,
FastMP : a multicore simulation methodology, Workshop on Modeling, Benchmarking and Simulation, 2006. ,
A first-order superscalar processor model, Proceedings of the 31st International Symposium on Computer Architecture, 2004. ,
Lockup-free instruction fetch/prefetch cache organization, 25 years of the international symposia on Computer architecture (selected papers) , ISCA '98, 1981. ,
DOI : 10.1145/285930.285979
Performance-aware speculation control using wrong path usefulness prediction, 2008 IEEE 14th International Symposium on High Performance Computer Architecture, 2008. ,
DOI : 10.1109/HPCA.2008.4658626
In-N-Out: Reproducing Out-of-Order Superscalar Processor Behavior from Reduced In-Order Traces, 2011 IEEE 19th Annual International Symposium on Modelling, Analysis, and Simulation of Computer and Telecommunication Systems, 2011. ,
DOI : 10.1109/MASCOTS.2011.16
Accurately approximating superscalar processor performance from traces, 2009 IEEE International Symposium on Performance Analysis of Systems and Software, 2009. ,
DOI : 10.1109/ISPASS.2009.4919655
CMP Design Space Exploration Subject to Physical Constraints, The Twelfth International Symposium on High-Performance Computer Architecture, 2006., 2006. ,
DOI : 10.1109/HPCA.2006.1598109
A time-stamping algorithm for efficient performance estimation of superscalar processors, Proceedings of the ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, 2001. ,
Zesto: A cycle-level simulator for highly detailed microarchitecture exploration, 2009 IEEE International Symposium on Performance Analysis of Systems and Software, 2009. ,
DOI : 10.1109/ISPASS.2009.4919638
Exploring instruction-fetch bandwidth requirement in wide-issue superscalar processors, 1999 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.PR00425), 1999. ,
DOI : 10.1109/PACT.1999.807388
URL : https://hal.archives-ouvertes.fr/inria-00077111
ASPEN: towards effective simulation of threads & engines in evolving platforms, The IEEE Computer Society's 12th Annual International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems, 2004. (MASCOTS 2004). Proceedings., 2004. ,
DOI : 10.1109/MASCOT.2004.1348181
Automatic logging of operating system effects to guide application-level architecture simulation, Proceedings of the ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, 2006. ,
Theoretical modeling of superscalar processor performance, Proceedings of the 27th annual international symposium on Microarchitecture , MICRO 27, 1994. ,
DOI : 10.1145/192724.192730
Trace-driven simulation of multithreaded applications, (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE, 2011. ,
DOI : 10.1109/ISPASS.2011.5762718
Fast, Accurate, and Validated Full-System Software Simulation of x86 Hardware, IEEE Micro, vol.30, issue.6, pp.46-56, 2010. ,
DOI : 10.1109/MM.2010.95
Automatically characterizing large scale program behavior, Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems, 2002. ,
Analytic evaluation of shared-memory systems with ILP processors, Proceedings of the 25th International Symposium on Computer Architecture, 1998. ,
Exploring Large-Scale CMP Architectures Using ManySim, IEEE Micro, vol.27, issue.4, pp.21-33, 2007. ,
DOI : 10.1109/MM.2007.66