T. Austin, E. Larson, and D. Ernst, SimpleScalar: an infrastructure for computer system modeling, Computer, vol.35, issue.2, pp.59-67, 2002.
DOI : 10.1109/2.982917

X. E. Chen and T. M. Aamodt, Hybrid analytical modeling of pending cache hits, data prefetching, and MSHRs, Proceedings of the 41st International Symposium on Microarchitecture, 2008.

S. Cho, S. Demetriades, S. Evans, L. Jin, H. Lee et al., TPTS: A Novel Framework for Very Fast Manycore Processor Architecture Simulation, 2008 37th International Conference on Parallel Processing, 2008.
DOI : 10.1109/ICPP.2008.7

M. Durbhakula, V. S. Pai, and S. Adve, Improving the accuracy vs. speed tradeoff for simulating shared-memory multiprocessors with ILP processors, Proceedings Fifth International Symposium on High-Performance Computer Architecture, 1999.
DOI : 10.1109/HPCA.1999.744317

S. Eyerman, L. Eeckhout, T. Karkhanis, and J. E. Smith, A mechanistic performance model for superscalar out-of-order processors, ACM Transactions on Computer Systems, vol.27, issue.2, 2009.
DOI : 10.1145/1534909.1534910

S. Eyerman, J. E. Smith, and L. Eeckhout, Characterizing the branch misprediction penalty, 2006 IEEE International Symposium on Performance Analysis of Systems and Software, 2011.
DOI : 10.1109/ISPASS.2006.1620789

B. A. Fields, R. Bodik, M. D. Hill, and C. J. Newburn, Using interaction costs for microarchitectural bottleneck analysis, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), 2003.
DOI : 10.1109/MICRO.2003.1253198

D. Genbrugge, S. Eyerman, and L. Eeckhout, Interval simulation: Raising the level of abstraction in architectural simulation, HPCA, 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture, 2010.
DOI : 10.1109/HPCA.2010.5416636

S. R. Goldschmidt and J. L. Hennessy, The accuracy of trace-driven simulations of multiprocessors, Proceedings of the ACM SIGMETRICS Conference on Measurement and Modeling of Computer Systems, 1993.

S. Kanaujia, I. Esmer-papazian, J. Chamberlain, and J. Baxter, FastMP : a multicore simulation methodology, Workshop on Modeling, Benchmarking and Simulation, 2006.

T. S. Karkhanis and J. E. Smith, A first-order superscalar processor model, Proceedings of the 31st International Symposium on Computer Architecture, 2004.

D. Kroft, Lockup-free instruction fetch/prefetch cache organization, 25 years of the international symposia on Computer architecture (selected papers) , ISCA '98, 1981.
DOI : 10.1145/285930.285979

C. J. Lee, H. Kim, O. Mutlu, and Y. N. Patt, Performance-aware speculation control using wrong path usefulness prediction, 2008 IEEE 14th International Symposium on High Performance Computer Architecture, 2008.
DOI : 10.1109/HPCA.2008.4658626

K. Lee and S. Cho, In-N-Out: Reproducing Out-of-Order Superscalar Processor Behavior from Reduced In-Order Traces, 2011 IEEE 19th Annual International Symposium on Modelling, Analysis, and Simulation of Computer and Telecommunication Systems, 2011.
DOI : 10.1109/MASCOTS.2011.16

K. Lee, S. Evans, and S. Cho, Accurately approximating superscalar processor performance from traces, 2009 IEEE International Symposium on Performance Analysis of Systems and Software, 2009.
DOI : 10.1109/ISPASS.2009.4919655

]. Y. Li, B. Lee, D. Brooks, Z. Hu, and K. Skadron, CMP Design Space Exploration Subject to Physical Constraints, The Twelfth International Symposium on High-Performance Computer Architecture, 2006., 2006.
DOI : 10.1109/HPCA.2006.1598109

G. Loh, A time-stamping algorithm for efficient performance estimation of superscalar processors, Proceedings of the ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, 2001.

G. Loh, S. Subramaniam, and Y. Xie, Zesto: A cycle-level simulator for highly detailed microarchitecture exploration, 2009 IEEE International Symposium on Performance Analysis of Systems and Software, 2009.
DOI : 10.1109/ISPASS.2009.4919638

P. Michaud, A. Seznec, and S. Jourdan, Exploring instruction-fetch bandwidth requirement in wide-issue superscalar processors, 1999 International Conference on Parallel Architectures and Compilation Techniques (Cat. No.PR00425), 1999.
DOI : 10.1109/PACT.1999.807388

URL : https://hal.archives-ouvertes.fr/inria-00077111

J. Moses, R. Illikkal, R. Iyer, R. Huggahalli, and D. Newell, ASPEN: towards effective simulation of threads & engines in evolving platforms, The IEEE Computer Society's 12th Annual International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunications Systems, 2004. (MASCOTS 2004). Proceedings., 2004.
DOI : 10.1109/MASCOT.2004.1348181

S. Narayanasamy, C. Pereira, H. Patil, R. Cohn, and B. Calder, Automatic logging of operating system effects to guide application-level architecture simulation, Proceedings of the ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, 2006.

D. B. Noonburg and J. P. Shen, Theoretical modeling of superscalar processor performance, Proceedings of the 27th annual international symposium on Microarchitecture , MICRO 27, 1994.
DOI : 10.1145/192724.192730

A. Rico, A. Duran, F. Cabarcas, Y. Etsion, A. Ramirez et al., Trace-driven simulation of multithreaded applications, (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE, 2011.
DOI : 10.1109/ISPASS.2011.5762718

F. Ryckbosch, S. Polfliet, and L. Eeckhout, Fast, Accurate, and Validated Full-System Software Simulation of x86 Hardware, IEEE Micro, vol.30, issue.6, pp.46-56, 2010.
DOI : 10.1109/MM.2010.95

T. Sherwood, E. Perelman, G. Hamerly, and B. Calder, Automatically characterizing large scale program behavior, Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems, 2002.

D. J. Sorin, V. S. Pai, S. V. Adve, M. K. Vernon, and D. A. Wood, Analytic evaluation of shared-memory systems with ILP processors, Proceedings of the 25th International Symposium on Computer Architecture, 1998.

L. Zhao, R. Iyer, J. Moses, R. Illikkal, S. Makineni et al., Exploring Large-Scale CMP Architectures Using ManySim, IEEE Micro, vol.27, issue.4, pp.21-33, 2007.
DOI : 10.1109/MM.2007.66