M. Annavaram, E. Grochowski, and J. P. Shen, Mitigating Amdahl's Law through EPI Throttling, ISCA
DOI : 10.1145/1080695.1069995

D. H. Bailey, E. Barszcz, J. T. Barton, R. L. Carter, T. A. Lasinski et al., The Nas Parallel Benchmarks, International Journal of High Performance Computing Applications, vol.5, issue.3, pp.63-73, 1991.
DOI : 10.1177/109434209100500306

D. Bautista, J. Sahuquillo, H. Hassan, S. Petit, and J. Duato, A simple poweraware scheduling for multicore systems when running real-time applications, IPDPS, pp.1-7

G. Contreras, Power prediction for intel XScale?? processors using performance monitoring unit events, Proceedings of the 2005 international symposium on Low power electronics and design , ISLPED '05, pp.221-226, 2005.
DOI : 10.1145/1077603.1077657

G. D. Costa and H. Hlavacs, Methodology of measurement for energy consumption of applications, 2010 11th IEEE/ACM International Conference on Grid Computing
DOI : 10.1109/GRID.2010.5697987

M. Curtis-maury, J. Dzierwa, C. D. Antonopoulos, and D. S. Nikolopoulos, Online power-performance adaptation of multithreaded programs using hardware eventbased prediction, ICS, pp.1-5, 2006.

A. S. Dhodapkar and J. E. Smith, Managing multi-configurable hardware via dynamic working set analysis, 29th Annual International Symposium on Computer Architecture

V. W. Freeh, N. Kappiah, D. K. Lowenthal, and T. K. Bletsch, Just-in-time dynamic voltage scaling: Exploiting inter-node slack to save energy in MPI programs, Journal of Parallel and Distributed Computing, vol.68, issue.9
DOI : 10.1016/j.jpdc.2008.04.007

R. Ge, X. Feng, and K. W. Cameron, Performance-constrained Distributed DVS Scheduling for Scientific Applications on Power-aware Clusters, ACM/IEEE SC 2005 Conference (SC'05), 2005.
DOI : 10.1109/SC.2005.57

R. Joseph, M. Martonosidepartment, and E. Engineering, Run-time power estimation in high performance microprocessors, Proceedings of the 2001 international symposium on Low power electronics and design , ISLPED '01
DOI : 10.1145/383082.383119

I. Kadayif, T. Chinoda, M. Kandemir, N. Vijaykirsnan, M. J. Irwin et al., vEC, Proceedings of the 2001 ACM SIGPLAN-SIGSOFT workshop on Program analysis for software tools and engineering , PASTE '01, pp.28-31, 2001.
DOI : 10.1145/379605.379639

A. Kansal and F. Zhao, Fine-grained energy profiling for power-aware application design. SIGMETRICS Perform, Eval. Rev

H. Kimura, T. Imada, and M. Sato, Runtime Energy Adaptation with Low-Impact Instrumented Code in a Power-Scalable Cluster System, 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing, pp.378-387, 2010.
DOI : 10.1109/CCGRID.2010.70

M. Y. Lim, V. W. Freeh, and D. K. , Adaptive, Transparent Frequency and Voltage Scaling of Communication Phases in MPI Programs, ACM/IEEE SC 2006 Conference (SC'06), 2006.
DOI : 10.1109/SC.2006.11

C. Lively, X. Wu, V. Taylor, S. Moore, H. Chang et al., Power-aware predictive models of hybrid (MPI/OpenMP) scientific applications on multicore systems, Computer Science - Research and Development, vol.10, issue.1, pp.1-9, 2011.
DOI : 10.1007/s00450-011-0190-0

K. Singh, M. Bhadauria, and S. A. Mckee, Real time power estimation and thread scheduling via performance counters, ACM SIGARCH Computer Architecture News, vol.37, issue.2, pp.3-7, 2009.
DOI : 10.1145/1577129.1577137

A. Weissel and F. Bellosa, Process cruise control-event-driven clock scaling for dynamic power management, Proceedings of the International Conference on Compilers , Architecture and Synthesis for Embedded Systems, 2002.

W. Wu, L. Jin, J. Yang, P. Liu, and S. X. Tan, A systematic method for functional unit power estimation in microprocessors, Proceedings of the 43rd annual conference on Design automation , DAC '06, 2006.
DOI : 10.1145/1146909.1147053