S. Byhlin, A. Ermedahl, J. Gustafsson, and B. Lisper, Applying Static WCET Analysis to Automotive Communication Software, 17th Euromicro Conference on Real-Time Systems (ECRTS'05), pp.249-258, 2005.
DOI : 10.1109/ECRTS.2005.7

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.105.7966

H. Falk and J. Kleinsorge, Optimal static WCET-aware scratchpad allocation of program code, Proceedings of the 46th Annual Design Automation Conference on ZZZ, DAC '09, pp.732-737, 2009.
DOI : 10.1145/1629911.1630101

C. Ferdinand, F. Martin, R. Wilhelm, and M. Alt, Cache behavior prediction by abstract interpretation, Science of Computer Programming, vol.35, issue.2-3, pp.163-189, 1999.
DOI : 10.1016/S0167-6423(99)00010-6

J. Hennessy and D. Patterson, Computer Architecture -A Quantitative Approach, 2003.

M. Kuo, L. H. Yoong, S. Andalam, and P. Roop, Determining the worst-case reaction time of IEC 61499 function blocks, 2010 8th IEEE International Conference on Industrial Informatics, pp.1104-1109, 2010.
DOI : 10.1109/INDIN.2010.5549585

X. Li, T. Mitra, and A. Roychoudhury, Accurate timing analysis by modeling caches, speculation and their interaction, Proceedings of the 40th conference on Design automation , DAC '03, pp.466-471, 2003.
DOI : 10.1145/775832.775953

Y. S. Li, S. Malik, and A. Wolfe, Performance estimation of embedded software with instruction cache modeling, ACM Transactions on Design Automation of Electronic Systems, vol.4, issue.3, pp.257-279, 1999.
DOI : 10.1145/315773.315778

Y. Liang and T. Mitra, Static analysis for fast and accurate design space exploration of caches, Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, CODES/ISSS '08, pp.103-108, 2008.
DOI : 10.1145/1450135.1450159

B. Lickly, I. Liu, S. Kim, H. D. Patel, S. A. Edwards et al., Predictable programming on a precision timed architecture, Proceedings of the 2008 international conference on Compilers, architectures and synthesis for embedded systems, CASES '08, 2008.
DOI : 10.1145/1450095.1450117

URL : http://academiccommons.columbia.edu/download/fedora_content/download/ac:138906/CONTENT/lickly2008predictable.pdf

I. Liu, Precision Timed Machines, 2012.

H. S. Negi, T. Mitra, and A. Roychoudhury, Accurate estimation of cache-related preemption delay, Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign & system synthesis , CODES+ISSS '03, pp.201-206, 2003.
DOI : 10.1145/944645.944698

I. Puaut, WCET-Centric Software-controlled Instruction Caches for Hard Real-Time Systems, 18th Euromicro Conference on Real-Time Systems (ECRTS'06), 2006.
DOI : 10.1109/ECRTS.2006.32

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.523.3556

I. Puaut and C. Pais, Scratchpad memories vs locked caches in hard real-time systems: a quantitative comparison, 2007 Design, Automation & Test in Europe Conference & Exhibition, pp.1484-1489, 2007.
DOI : 10.1109/DATE.2007.364510

URL : https://hal.archives-ouvertes.fr/inria-00105010

J. Reineke, Caches in WCET Analysis, 2008.

M. Schoeberl, A Time Predictable Instruction Cache for a Java Processor, On the Move to Meaningful Internet Systems 2004: Workshop on Java Technologies for Real-Time and Embedded Systems, pp.371-382, 2004.
DOI : 10.1007/978-3-540-30470-8_52

D. Sehlberg, A. Ermedahl, J. Gustafsson, B. Lisper, and S. Wiegratz, Static WCET Analysis of Real-Time Task-Oriented Code in Vehicle Control Systems, Second International Symposium on Leveraging Applications of Formal Methods, Verification and Validation (isola 2006), pp.212-219, 2006.
DOI : 10.1109/ISoLA.2006.63

J. Souyris, E. L. Pavec, G. Himbert, V. Jégu, and G. Borios, Computing the worst case execution time of an avionics program by abstract interpretation, Proceedings of the 5th International Workshop on Worst-Case Execution Time (WCET) Analysis, pp.21-24, 2005.

V. Suhendra, A. Roychoudhury, and T. Mitra, Scratchpad Allocation for Concurrent Embedded Software, ACM Transactions on Programming Languages and Systems, vol.32, issue.4, 2010.
DOI : 10.1145/1734206.1734210

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.150.8659

H. Theiling, Extracting safe and precise control flow from binaries, Proceedings Seventh International Conference on Real-Time Computing Systems and Applications, pp.23-30, 2000.
DOI : 10.1109/RTCSA.2000.896367

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.25.279

R. Wilhelm, D. Grund, J. Reineke, M. Schlickling, M. Pister et al., Memory Hierarchies, Pipelines, and Buses for Future Architectures in Time-Critical Embedded Systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, issue.7, pp.966-978, 2009.
DOI : 10.1109/TCAD.2009.2013287

S. Wilhelm and B. Wachter, Symbolic state traversal for WCET analysis, Proceedings of the seventh ACM international conference on Embedded software, EMSOFT '09, pp.137-146, 2009.
DOI : 10.1145/1629335.1629354

.. Memory, 4 2 (a) A simple control flow graph consisting of nine basic blocks (B1 to B9) and the instructions that are accessed during execution of the basic block. (b) Mapping of instructions on to four cache lines (c 0 to c 3 )

.. Reference-block-b-ref, 45 18 Overview of NUS, Absint and UoA approaches for computing the worst/best cache misses for a, p.53

R. Inria, Inovallée 655 avenue de l'Europe Montbonnot 38334 Saint Ismier Cedex Publisher Inria Domaine de Voluceau -Rocquencourt BP 105 -78153 Le Chesnay Cedex inria, pp.249-6399