1687-3963-2007-039161 1687-3963 Research Article <p>Formal Methods for Scheduling of Latency-Insensitive Designs</p> BoucaronJulienjulien.boucaron@inria.fr de SimoneRobertrobert.de_simone@inria.fr MilloJean-Vivienjean-vivien.millo@inria.fr

Aoste project-team, INRIA Sophia-Antipolis, 2004 rouye des Iucioles, BP 93, Sophia Antipolis Cedex 06902, France

EURASIP Journal on Embedded Systems 1687-3963 2007 20071 039161 http://jes.eurasipjournals.com/content/2007/1/039161 10.1155/2007/39161
172006231200711520073082007 2007Boucaron et al.This is an open access article distributed under the Creative Commons Attribution License, which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited.

Abstract

Latency-insensitive design (LID) theory was invented to deal with SoC timing closure issues, by allowing arbitrary fixed integer latencies on long global wires. Latencies are coped with using a resynchronization protocol that performs dynamic scheduling of data transportation. Functional behavior is preserved. This dynamic scheduling is implemented using specific synchronous hardware elements: relay-stations (RS) and shell-wrappers (SW). Our first goal is to provide a formal modeling of RS and SW, that can be then formally verified. As turns out, resulting behavior is k-periodic, thus amenable to static scheduling. Our second goal is to provide formal hardware modeling here also. It initially performs throughput equalization, adding integer latencies wherever possible; residual cases require introduction of fractional registers (FRs) at specific locations. Benchmark results are presented, run on our Kpassa tool implementation.

Synchronous Paradigm in Embedded Systems

[1234567891011121314151617181920]

<p>Theory of latency-insensitive design</p>CarloniLPMcMillanKLSangiovanni-VincentelliALIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems20012091059107610.1109/43.945302<p>A methodology for correct-by-construction latency insensitive design</p>CarloniLPMcMillanKLSaldanhaASangiovanni-VincentelliALProceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD '99), November 1999, San Jose, Calif, USA309315<p>Performance analysis and optimization of latency insensitive systems</p>CarloniLPSangiovanni-VincentelliALProceedings of the 37th Conference on Design automation (DAC '00), June 2000, Los Angeles, Calif, USA361367<p>Robust interfaces for mixed-timing systems with application to latency-insensitive protocols</p>ChelceaTNowickSMProceedings of the 38th conference on Design automation (DAC '01), June 2001, Las Vegas, Nev, USA2126<p>A minimalist source-synchronous interface</p>ChakrabortyAGreenstreetMRProceedings of the 15th Annual IEEE International ASIC/SOC Conference, September 2002, Rochester, NY, USA443447<p>Marked directed graphs</p>CommonerFHoltAWEvenSPnueliAJournal of Computer and System Sciences19715551152310.1016/S0022-0000(71)80013-2RamchandaniCAnalysis of asynchronous concurrent systems by timed Petri nets, Ph.D. thesisMIT, Cambridge, Mass, USA1973CarlierJChrétiennePProblème d'ordonnancement: modélisation, complexité, algorithmesMasson, Paris, France198821061062BaccelliFCohenGOlsderGJQuadratJ-PSynchronization and Linearity: An Algebra for Discrete Event SystemsJohn Wiley & Sons, New York, NY, USA1992<p>A polynomial time method for optimal software pipelining</p>van DongenVGaoGRNingQProceedings of the 2nd Joint International Conference on Vector and Parallel Processing (CONPAR '92), September 1992, Lyon, FranceSpringer613624<p>Optimal design of synchronous circuits using software pipelining techniques</p>BoyerF-RAboulhamidEMSavariaYBoyerMProceedings of IEEE International Conference on Computer Design (ICCD '98), October 1998, Austin, Tex, USA6267<p>A new approach to latency insensitive design</p>CasuMRMacchiaruloLProceedings of the 41st Annual Conference on Design Automation (DAC '04), June 2004, San Diego, Calif, USAACM Press576581<p><it>N</it>-synchronous Kahn networks: a relaxed model of synchrony for real-time systems</p>CohenADurantonMEisenbeisCPagettiCPlateauFPouzetMProceedings of the 33rd ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages (POPL '06), January 2006, Charleston, South Carolina, USAACM Press180193<p>Another glance at relay stations in latency-insensitive design</p>BoucaronJMilloJ-Vde SimoneRElectronic Notes in Theoretical Computer Science20061462415910.1016/j.entcs.2005.05.035<p>A detailed implementation of latency insensitive protocols</p>CasuMRMacchiaruloLProceedings of Formal Methods for Globally Asyncronous Locally Syncronous Architectures, September 2003, Pisa, Italy94103<p>The synchronous languages 12 years later</p>BenvenisteACaspiPEdwardsSAHalbwachsNLe GuernicPde SimoneRProceedings of the IEEE2003911648310.1109/JPROC.2002.805826<p>High-level modeling and design of asynchronous interface logic</p>YakovlevAVKoelmansAMLavagnoLIEEE Design and Test of Computers1995121324010.1109/54.350688<p>Floorplanning for throughput</p>CasuMRMacchiaruloLProceedings of the International Symposium on Physical Design (ISPD '04), April 2004, Phoenix, Ariz, USAACM Press6269<p>Representation and analysis of reactive behaviors: a synchronous approach</p>AndréCProceedings of the IMAC Multiconference on Computational Engineering in Systems Applications (CESA '96), July 1996, Lille, France1929<p>Experimental analysis of the fastest optimum cycle ratio and mean algorithms</p>DasdanAACM Transactions on Design Automation of Electronic Systems20049438541810.1145/1027084.1027085