M. G. Arnold, T. A. Bailey, J. R. Cowles, and J. J. , Redundant logarithmic arithmetic, IEEE Transactions on Computers, vol.39, issue.8, pp.1077-1086, 1990.
DOI : 10.1109/12.57046

M. G. Arnold, T. A. Bailey, J. R. Cowles, and M. D. , Applying features of IEEE 754 to sign/logarithm arithmetic, IEEE Transactions on Computers, vol.41, issue.8, pp.1040-1050, 1992.
DOI : 10.1109/12.156547

M. Arnold and C. Walter, Unrestricted faithful rounding is good enough for some LNS applications, Proceedings 15th IEEE Symposium on Computer Arithmetic. ARITH-15 2001, pp.237-245, 2001.
DOI : 10.1109/ARITH.2001.930125

M. Arnold and S. Collange, A Dual-Purpose Real/Complex Logarithmic Number System ALU, 2009 19th IEEE Symposium on Computer Arithmetic, pp.15-24, 2009.
DOI : 10.1109/ARITH.2009.26

M. Arnold, Towards a Quaternion Complex Logarithm Number System, 20th Intl. Symp. Computer Arithmetic, pp.33-42, 2011.

C. Chen and C. H. Yang, Pipelined computation of very large word-length LNS addition/subtraction with polynomial hardware cost, IEEE Transactions on Computers, vol.49, issue.7, pp.716-726, 2000.
DOI : 10.1109/12.863041

E. I. Chester and J. N. Coleman, Matrix engine for signal processing applications using the logarithmic number system, Proceedings IEEE International Conference on Application- Specific Systems, Architectures, and Processors, pp.315-324, 2002.
DOI : 10.1109/ASAP.2002.1030730

J. N. Coleman, E. I. Chester, C. I. Softley, and J. Kadlac, Arithmetic on the European logarithmic microprocessor, IEEE Transactions on Computers, vol.49, issue.7, pp.702-715, 2000.
DOI : 10.1109/12.863040

J. N. Coleman, C. I. Softley, J. Kadlec, R. Matousek, M. Tichy et al., The European Logarithmic Microprocessor, IEEE Transactions on Computers, pp.532-546, 2008.

F. De-dinechin, The Arithmetic Operators You Will Never See in a Microprocessor, 2011 IEEE 20th Symposium on Computer Arithmetic, pp.189-190, 2011.
DOI : 10.1109/ARITH.2011.33

URL : https://hal.archives-ouvertes.fr/ensl-00642164

F. De-dinechin, M. Joldes, and B. Pasca, Automatic generation of polynomial-based hardware architectures for function evaluation, ASAP 2010, 21st IEEE International Conference on Application-specific Systems, Architectures and Processors, 2010.
DOI : 10.1109/ASAP.2010.5540952

URL : https://hal.archives-ouvertes.fr/ensl-00470506

H. Fu, O. Mencer, and W. Luk, FPGA Designs with Optimized Logarithmic Arithmetic, IEEE Transactions on Computers, vol.59, issue.7, pp.1000-1006, 2010.
DOI : 10.1109/TC.2010.51

R. C. Ismail and J. N. Coleman, ROM-less LNS, 2011 IEEE 20th Symposium on Computer Arithmetic, pp.43-51, 2011.
DOI : 10.1109/ARITH.2011.15

S. J. Kidd, Implementation of the Sign-Logarithm Arithmetic FFT, Royal Signals and Radar Establishment Memorandum, vol.3644, 1983.

N. G. Kingsbury and P. J. Rayner, Digital filtering using logarithmic arithmetic, Electronics Letters, vol.7, issue.2, pp.56-58, 1971.
DOI : 10.1049/el:19710039

M. Kahrs and K. Branderburg, Applications of Digital Signal Processing to Audio and Acoustics, p.224, 1998.
DOI : 10.1007/b117882

D. M. Lewis-]-v, J. Dimitrov, L. Eskritt, G. A. Imbert, W. C. Jullien et al., 114 MFLOPS Logarithmic Number System Arithmetic Unit for DSP Applications The Use of The Multi-Dimensional Logarithmic Number System in DSP Applications, 15th Intl. Symp. Computer Arithmetic, pp.1547-1553, 1995.

I. Kouretas, C. Basetas, and V. Paliouras, Low-Power Logarithmic Number System Addition and Subtraction and their Impact on Digital Filters, IEEE Trans. Comput. IEEE Computer Society Digital Library, 2011.

J. Makino and M. Taiji, Scientific Simulations with Special- Purpose Computers?the GRAPE Systems, 1998.

V. Paliouras and T. Stouraitis, Low-power properties of the logarithmic number system, Proceedings 15th IEEE Symposium on Computer Arithmetic. ARITH-15 2001, pp.229-236, 2001.
DOI : 10.1109/ARITH.2001.930124

E. E. Swartzlander, D. Chandra, T. Nagle, and S. A. Starks, Sign/Logarithm Arithmetic for FFT Implementation, IEEE Transactions on Computers, vol.32, issue.6, pp.526-534, 1983.
DOI : 10.1109/TC.1983.1676274

E. E. Swartzlander and A. G. , The Sign/Logarithm Number System, IEEE Transactions on Computers, vol.24, issue.12, pp.1238-1242, 1975.
DOI : 10.1109/T-C.1975.224172

S. Young, The HTK Book (for HTK Version 3.1), Cambridge University Engineering Department, 2001.