J. R. Allen, K. Kennedy, C. Portereld, and J. Warren, Conversion of control dependence to data dependence, Proceedings of the 10th ACM SIGACT-SIGPLAN symposium on Principles of programming languages , POPL '83, p.177189, 1983.
DOI : 10.1145/567067.567085

T. Hower, S. Krishna, R. Sardashti, K. Sen, M. Sewell et al., The gem5 simulator, SIGARCH Comput, Archit. News, vol.39, issue.2, p.17, 2011.

P. Chang, E. Hao, Y. N. Patt, and P. P. Chang, Using Predicated Execution to Improve the Performance of a Dynamically Scheduled Machine with Speculative Execution, International Journal of Parallel Programming, vol.11, issue.12, p.209234, 1996.
DOI : 10.1007/BF03356749

G. Z. Chrysos and J. S. Emer, Memory dependence prediction using store sets, ISCA '98: Proceedings of the 25th annual international symposium on Computer architecture, p.142153, 1998.
DOI : 10.1109/isca.1998.694770

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.10.6285

W. Chuang and B. Calder, Predicate prediction for ecient out-of-order execution, Proceedings of the 17th annual international conference on Supercomputing, pp.183-192, 2003.

R. Cytron, J. Ferrante, B. K. Rosen, M. N. Wegman, and F. K. Zadeck, Eciently computing static single assignment form and the control dependence graph, ACM Trans. Program. Lang. Syst, vol.13, issue.4, p.451490, 1991.

G. Hamerly, E. Perelman, J. Lau, and B. Calder, Simpoint 3.0 : Faster and more exible program phase analysis, Journal of Instruction Level Parallelism, vol.7, 2005.
DOI : 10.1201/9781420037425.ch7

I. Corp, Intel itanium architecture software developer's manual Instruction set reference, 2002.

R. E. Kessler, The Alpha 21264 microprocessor, IEEE Micro, vol.19, issue.2, p.2436, 1999.
DOI : 10.1109/40.755465

H. Kim, J. A. Joao, O. Mutlu, and Y. N. Patt, Diverge-Merge Processor (DMP): Dynamic Predicated Execution of Complex Control-Flow Graphs Based on Frequently Executed Paths, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06), p.5364, 2006.
DOI : 10.1109/MICRO.2006.20

H. Kim, O. Mutlu, J. Stark, and Y. N. Patt, Wish branches: Combining conditional branching and predication for adaptive predicated execution, Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture, p.4354, 2005.

D. N. Pnevmatikatos and G. S. Sohi, Guarded execution and branch prediction in dynamic ilp processors, Proceedings of the 21st annual international symposium on Computer architecture, ser. ISCA '94, p.120129, 1994.

N. Premillieu and A. Seznec, SYRANT: SYmmetric resource allocation on not-taken and taken paths, ACM Transactions on Architecture and Code Optimization, vol.8, issue.4, p.4314320, 2012.
DOI : 10.1145/2086696.2086722

URL : https://hal.archives-ouvertes.fr/inria-00539647

E. Quiñones, J. Parcerisa, and A. Gonzalez, Selective predicate prediction for out-oforder processors [17] A. Seznec, Storage free condence estimation for the tage branch predictor, Proceedings of the 20th annual international conference on Supercomputing Proceedings of the 17th International Symposium on High-Performance Computer Architecture, pp.4654-443454, 2006.

A. Seznec and P. Michaud, A case for (partially) tagged geometric history length branch prediction, Journal of Instruction Level Parallelism, 2006.

B. Simon, B. Calder, and J. Ferrante, Incorporating predicate information into branch predictors, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings., p.5364, 2003.
DOI : 10.1109/HPCA.2003.1183524

URL : http://cadal.cse.nsysu.edu.tw/seminar/seminar_file/2006/060403_cchu_paper.pdf

J. E. Smith, A study of branch prediction strategies, 25 years of the international symposia on Computer architecture (selected papers) , ISCA '98, p.135148, 1981.
DOI : 10.1145/285930.285980

G. S. Tyson, The eects of predicated execution on branch prediction, Proceedings of the 27th annual international symposium on Microarchitecture, 1994.

H. Vandierendonck and A. Seznec, Speculative return address stack management revisited, ACM Transactions on Architecture and Code Optimization, vol.5, issue.3, p.1511520, 2008.
DOI : 10.1145/1455650.1455654

P. H. Wang, H. Wang, R. Kling, K. Ramakrishnan, and J. P. Shen, Register renaming and scheduling for dynamic execution of predicated code, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture, pp.249-6399, 2001.
DOI : 10.1109/HPCA.2001.903248