N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi et al., The gem5 simulator, ACM SIGARCH Computer Architecture News, vol.39, issue.2, pp.1-7, 2011.
DOI : 10.1145/2024716.2024718

B. Calder, G. Reinman, and D. M. Tullsen, Selective value prediction, Proceedings of the International Symposium on Computer Architecture. IEEE, pp.64-74, 1999.
DOI : 10.1145/307338.300985

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.152.4915

G. Z. Chrysos and J. S. Emer, Memory dependence prediction using store sets, Proceedings of the 25th annual international symposium on Computer architecture, pp.142-153, 1998.
DOI : 10.1109/isca.1998.694770

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.10.6285

R. J. Eickemeyer and S. Vassiliadis, A load-instruction unit for pipelined processors, IBM Journal of Research and Development, vol.37, issue.4, pp.547-564, 1993.
DOI : 10.1147/rd.374.0547

B. Fields, S. Rubin, and R. Bodík, Focusing processor policies via critical-path prediction, Computer Architecture Proceedings. 28th Annual International Symposium on. IEEE, pp.74-85, 2001.
DOI : 10.1145/384285.379253

URL : http://cadal.cse.nsysu.edu.tw/seminar/seminar_file/2002/10/Focusing processor policies via critical-path prediction.pdf

F. Gabbay and A. Mendelson, Using value prediction to increase the power of speculative execution hardware, ACM Transactions on Computer Systems, vol.16, issue.3, pp.234-270, 1998.
DOI : 10.1145/290409.290411

B. Goeman, H. Vandierendonck, and K. De-bosschere, Differential FCM: increasing value prediction accuracy by improving table usage efficiency, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture, pp.207-216, 2001.
DOI : 10.1109/HPCA.2001.903264

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.69.6057

M. D. Hill and M. R. Marty, Amdahl's Law in the Multicore Era, Computer, vol.41, issue.7, pp.33-38, 2008.
DOI : 10.1109/MC.2008.209

I. Kim and M. H. Lipasti, Understanding scheduling replay schemes, International Symposium on High-Performance Computer Architecture, pp.198-209, 2004.

M. H. Lipasti and J. P. Shen, Exceeding the dataflow limit via value prediction, Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture. MICRO 29, pp.226-237, 1996.
DOI : 10.1109/MICRO.1996.566464

M. H. Lipasti, C. B. Wilkerson, and J. P. Shen, Value locality and load value prediction, ASPLOS-VII, 1996.
DOI : 10.1145/237090.237173

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.122.8305

A. Mendelson and F. Gabbay, Speculative execution based on value prediction, 1997.

T. Nakra, R. Gupta, and M. L. Soffa, Global context-based value prediction, Proceedings Fifth International Symposium on High-Performance Computer Architecture, pp.4-12, 1999.
DOI : 10.1109/HPCA.1999.744311

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.363.9188

N. Riley and C. B. Zilles, Probabilistic Counter Updates for Predictor Hysteresis and Stratification, The Twelfth International Symposium on High-Performance Computer Architecture, 2006., pp.110-120, 2006.
DOI : 10.1109/HPCA.2006.1598118

B. Rychlik, J. W. Faistl, B. P. Krug, A. Y. Kurland, J. J. Sung et al., Efficient and accurate value prediction using dynamic classification, 1998.

Y. Sazeides and J. E. Smith, The predictability of data values, Proceedings of 30th Annual International Symposium on Microarchitecture, pp.248-258, 1997.
DOI : 10.1109/MICRO.1997.645815

A. Seznec, Storage free confidence estimation for the TAGE branch predictor, 2011 IEEE 17th International Symposium on High Performance Computer Architecture, pp.443-454, 2011.
DOI : 10.1109/HPCA.2011.5749750

URL : https://hal.archives-ouvertes.fr/inria-00512130

A. Seznec and P. Michaud, A case for (partially) tagged geometric history length branch prediction, Journal of Instruction Level Parallelism, vol.8, pp.1-23, 2006.

A. Sodani and G. S. Sohi, Understanding the differences between value prediction and instruction reuse, Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture, pp.205-215, 1998.
DOI : 10.1109/MICRO.1998.742782

R. Thomas and M. Franklin, Using dataflow based context for accurate value prediction, Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques, pp.107-117, 2001.
DOI : 10.1109/PACT.2001.953292

D. M. Tullsen and J. S. Seng, Storageless value prediction using prior register values, Proceedings of the International Symposium on Computer Architecture. IEEE, pp.270-279, 1999.
DOI : 10.1145/307338.301002

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.133.2417

E. S. Tune, D. M. Tullsen, and B. Calder, Quantifying instruction criticality, Proceedings.International Conference on Parallel Architectures and Compilation Techniques, pp.104-113, 2002.
DOI : 10.1109/PACT.2002.1106008

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.19.1251

K. Wang and M. Franklin, Highly accurate data value prediction using hybrid predictors, Proceedings of 30th Annual International Symposium on Microarchitecture, pp.281-290, 1997.
DOI : 10.1109/MICRO.1997.645819

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.16.9417

H. Zhou, J. Flanagan, and T. M. Conte, Detecting global stride locality in value streams, Proceedings of the 30th Annual International Symposium on Computer Architecture, pp.324-335, 2003.

H. Zhou, C. Y. Fu, E. Rotenberg, and T. Conte, A study of value speculative execution and misspeculation recovery in superscalar microprocessors, 2000.