M. G. Arnold, T. A. Bailey, J. R. Cowles, and J. J. , Redundant logarithmic arithmetic, IEEE Transactions on Computers, vol.39, issue.8, pp.1077-1086, 1990.
DOI : 10.1109/12.57046

M. G. Arnold, T. A. Bailey, J. R. Cowles, and M. D. , Applying features of IEEE 754 to sign/logarithm arithmetic, IEEE Transactions on Computers, vol.41, issue.8, pp.1040-1050, 1992.
DOI : 10.1109/12.156547

M. Arnold and C. Walter, Unrestricted faithful rounding is good enough for some LNS applications, Proceedings 15th IEEE Symposium on Computer Arithmetic. ARITH-15 2001, pp.237-245, 2001.
DOI : 10.1109/ARITH.2001.930125

M. Arnold and S. Collange, A Dual-Purpose Real/Complex Logarithmic Number System ALU, 2009 19th IEEE Symposium on Computer Arithmetic, pp.15-24, 2009.
DOI : 10.1109/ARITH.2009.26

M. Arnold, Towards a Quaternion Complex Logarithm Number System, 20th Intl. Symp. Computer Arithmetic, pp.33-42, 2011.

C. Chen and C. H. Yang, Pipelined computation of very large word-length LNS addition/subtraction with polynomial hardware cost, IEEE Transactions on Computers, vol.49, issue.7, pp.716-726, 2000.
DOI : 10.1109/12.863041

E. I. Chester and J. N. Coleman, Matrix engine for signal processing applications using the logarithmic number system, Proceedings IEEE International Conference on Application- Specific Systems, Architectures, and Processors, pp.315-324, 2002.
DOI : 10.1109/ASAP.2002.1030730

J. N. Coleman, E. I. Chester, C. I. Softley, and J. Kadlac, Arithmetic on the European logarithmic microprocessor, IEEE Transactions on Computers, vol.49, issue.7, pp.702-715, 2000.
DOI : 10.1109/12.863040

J. N. Coleman, C. I. Softley, J. Kadlec, R. Matousek, M. Tichy et al., The European Logarithmic Microprocessor, IEEE Transactions on Computers, pp.532-546, 2008.

F. De-dinechin, The Arithmetic Operators You Will Never See in a Microprocessor, 2011 IEEE 20th Symposium on Computer Arithmetic, pp.189-190, 2011.
DOI : 10.1109/ARITH.2011.33

URL : https://hal.archives-ouvertes.fr/ensl-00642164

]. F. De-dinechin, M. Joldes, and B. Pasca, Automatic generation of polynomial-based hardware architectures for function evaluation, ASAP 2010, 21st IEEE International Conference on Application-specific Systems, Architectures and Processors, 2010.
DOI : 10.1109/ASAP.2010.5540952

URL : https://hal.archives-ouvertes.fr/ensl-00470506

H. Fu, O. Mencer, and W. Luk, FPGA Designs with Optimized Logarithmic Arithmetic, IEEE Transactions on Computers, vol.59, issue.7, pp.1000-1006, 2010.
DOI : 10.1109/TC.2010.51

R. C. Ismail and J. N. Coleman, ROM-less LNS, 2011 IEEE 20th Symposium on Computer Arithmetic, pp.43-51, 2011.
DOI : 10.1109/ARITH.2011.15

S. J. Kidd, Implementation of the Sign-Logarithm Arithmetic FFT, Royal Signals and Radar Establishment Memorandum, vol.3644, 1983.

N. G. Kingsbury and P. J. Rayner, Digital filtering using logarithmic arithmetic, Electronics Letters, vol.7, issue.2, pp.56-58, 1971.
DOI : 10.1049/el:19710039

M. Kahrs and K. Branderburg, Applications of Digital Signal Processing to Audio and Acoustics, p.224, 1998.
DOI : 10.1007/b117882

D. M. Lewis, 114 MFLOPS Logarithmic Number System Arithmetic Unit for DSP Applications Intl. Solid-State Circuits Conf, pp.1547-1553, 1995.

V. S. Dimitrov, J. Eskritt, L. Imbert, G. A. Jullien, and W. C. Miller, The use of the multi-dimensional logarithmic number system in DSP applications, Proceedings 15th IEEE Symposium on Computer Arithmetic. ARITH-15 2001, pp.247-254, 2001.
DOI : 10.1109/ARITH.2001.930126

I. Kouretas, C. Basetas, and V. Paliouras, Low-Power Logarithmic Number System Addition and Subtraction and their Impact on Digital Filters, IEEE Trans. Comput. IEEE Computer Society Digital Library, 2011.

J. Makino and M. Taiji, Scientific Simulations with Special-Purpose Computers?the GRAPE Systems, 1998.

V. Paliouras and T. Stouraitis, Low-power properties of the logarithmic number system, Proceedings 15th IEEE Symposium on Computer Arithmetic. ARITH-15 2001, pp.229-236, 2001.
DOI : 10.1109/ARITH.2001.930124

E. E. Swartzlander, D. Chandra, T. Nagle, and S. A. Starks, Sign/Logarithm Arithmetic for FFT Implementation, IEEE Transactions on Computers, vol.32, issue.6, pp.526-534, 1983.
DOI : 10.1109/TC.1983.1676274

E. E. Swartzlander and A. G. , The Sign/Logarithm Number System, IEEE Transactions on Computers, vol.24, issue.12, pp.1238-1242, 1975.
DOI : 10.1109/T-C.1975.224172

S. Young, The HTK Book (for HTK Version 3.1), Cambridge University Engineering Department, 2001.

J. N. Mitchell, Computer Multiplication and Division Using Binary Logarithms, IEEE Transactions on Electronic Computers, vol.11, issue.4, pp.512-517, 1962.
DOI : 10.1109/TEC.1962.5219391

H. Khalid, R. E. Abed, and . Siferd, CMOS VLSI Implementation of a Low-Power Logarithmic Converter, IEEE Transactions on Computers, vol.52, issue.11, pp.1421-1433, 2003.

H. Khalid, R. E. Abed, and . Siferd, VLSI Implementation of a Low-Power Antilogarithmic Converter, IEEE Transactions on Computers, vol.52, issue.9, pp.1221-1228, 2003.

S. Bhairannawar, FPGA based Recursive Error Free Mitchell Log Multiplier for image Filters, 2012 IEEE International Conference on Computational Intelligence and Computing Research, pp.1-5, 2012.
DOI : 10.1109/ICCIC.2012.6510248

V. Mahalingam and N. Ranganathan, Improving Accuracy in Mitchell's Logarithmic Multiplication Using Operand Decomposition, IEEE Transactions on Computers, vol.55, issue.12, pp.1523-1535, 2006.
DOI : 10.1109/TC.2006.198

D. J. Mclaren, Improved Mitchell-based logarithmic multiplier for low-power DSP applications, IEEE International [Systems-on-Chip] SOC Conference, 2003. Proceedings., pp.53-56, 2003.
DOI : 10.1109/SOC.2003.1241461

M. Sullivan and E. E. Swartzlander, Truncated Logarithmic Approximation, 2013 IEEE 21st Symposium on Computer Arithmetic, 2013.
DOI : 10.1109/ARITH.2013.34

D. R. Shetty and S. Patil, Improving Accuracy in Mitchell's Logarithmic Multiplication Using Iterative Multiplier for Image Processing Application, International Journal of Soft Computing and Engineering (IJSCE), vol.3, issue.3, pp.187-191, 2013.

C. Layer, H. J. Pfleiderer, and C. Heer, A scalable compact architecture for the computation of integer binary logarithms through linear approximation, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512), pp.421-424, 2004.
DOI : 10.1109/ISCAS.2004.1329298

M. N. Marsono, M. W. El-kharashi, and F. Gebali, Binary LNS-based Na¨?veNa¨?ve Bayes Hardware Classifier for Spam Control, IEEE International Symposium on Circuits and Systems (ISCAS), pp.3674-3677, 2006.

A. Page and T. Mosemnin, An efficient & reconfigurable FPGA and ASIC implementation of a spectral Doppler ultrasound imaging system, 2013 IEEE 24th International Conference on Application-Specific Systems, Architectures and Processors, 2013.
DOI : 10.1109/ASAP.2013.6567575

R. Maenner, A Fast Integer Binary Logarithm of Large Arguments, IEEE Micro, vol.7, issue.6, pp.41-45, 1987.
DOI : 10.1109/MM.1987.304914

M. Arnold, T. Bailey, J. Cowles, and J. Cupal, Error Analysis of the Kmetz/Maenner Algorithm, The Journal of VLSI Signal Processing, vol.33, issue.1/2, pp.37-53, 2002.
DOI : 10.1023/A:1021189701352

M. G. , A. Arnold, and P. Vouzis, LPVIP: A Low-power ROM-Less ALU for Low-Precision LNS, 14th International Workshop on Power and Timing Modeling, Optimization and Simulation, pp.675-684, 2004.

M. G. Arnold, Improved DNA-sticker arithmetic: tube-encoded-carry, Logarithmic Number System and Monte-Carlo methods, Natural Computing, vol.52, issue.16, pp.235-246, 2013.
DOI : 10.1007/s11047-012-9356-3

M. G. Arnold and S. Collange, The Denormal Logarithmic Number System, 2013 IEEE 24th International Conference on Application-Specific Systems, Architectures and Processors, 2013.
DOI : 10.1109/ASAP.2013.6567564

URL : https://hal.archives-ouvertes.fr/hal-00832505

S. R. Li, Fast Constant Division routines, IEEE Trans. Comput, pp.866-869, 1985.

D. H. Jacobsohn, A Combinatoric Division Algorithm for Fixed-Integer Division, Inria RESEARCH CENTRE RENNES ? BRETAGNE ATLANTIQUE Campus universitaire de Beaulieu 35042 Rennes Cedex Publisher Inria Domaine de Voluceau -Rocquencourt BP 105 -78153 Le Chesnay Cedex inria.fr ISSN, pp.608-610, 1973.
DOI : 10.1109/tc.1973.5009114