J. R. Allen, K. Kennedy, C. Porterfield, and J. Warren, Conversion of control dependence to data dependence, Proceedings of the 10th ACM SIGACT-SIGPLAN symposium on Principles of programming languages , POPL '83, pp.177-189, 1983.
DOI : 10.1145/567067.567085

N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi et al., The gem5 simulator, ACM SIGARCH Computer Architecture News, vol.39, issue.2, pp.1-7, 2011.
DOI : 10.1145/2024716.2024718

P. Chang, E. Hao, Y. N. Patt, and P. P. Chang, Using Predicated Execution to Improve the Performance of a Dynamically Scheduled Machine with Speculative Execution, International Journal of Parallel Programming, vol.11, issue.12, pp.209-234, 1996.
DOI : 10.1007/BF03356749

G. Z. Chrysos and J. S. Emer, Memory dependence prediction using store sets, ISCA '98 : Proceedings of the 25th annual international symposium on Computer architecture, pp.142-153, 1998.

W. Chuang and B. Calder, Predicate prediction for efficient out-of-order execution, Proceedings of the 17th annual international conference on Supercomputing , ICS '03, pp.183-192, 2003.
DOI : 10.1145/782814.782840

R. Cytron, J. Ferrante, B. K. Rosen, M. N. Wegman, and F. K. Zadeck, Efficiently computing static single assignment form and the control dependence graph, ACM Transactions on Programming Languages and Systems, vol.13, issue.4, pp.451-490, 1991.
DOI : 10.1145/115372.115320

H. Gao and H. Zhou, Adaptive information processing : An effective way to improve perceptron predictors, Journal of Instruction-Level Parallelism, vol.7, 2005.

G. Hamerly, E. Perelman, J. Lau, and B. Calder, Simpoint 3.0 : Faster and more flexible program phase analysis, Journal of Instruction Level Parallelism, vol.7, 2005.
DOI : 10.1201/9781420037425.ch7

I. Corp, Intel itanium architecture software developerâ??s manual Instruction set reference, 2002.

D. A. Jiménez and C. Lin, Neural methods for dynamic branch prediction, ACM Transactions on Computer Systems, vol.20, issue.4, pp.369-397, 2002.
DOI : 10.1145/571637.571639

H. Kim, J. A. Joao, O. Mutlu, and Y. N. Patt, Diverge-Merge Processor (DMP): Dynamic Predicated Execution of Complex Control-Flow Graphs Based on Frequently Executed Paths, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06), pp.53-64, 2006.
DOI : 10.1109/MICRO.2006.20

H. Kim, O. Mutlu, J. Stark, and Y. N. Patt, Wish branches : Combining conditional branching and predication for adaptive predicated execution, Proceedings of the 38th annual IEEE/ACM International Symposium on Microarchitecture, pp.43-54, 2005.

D. N. Pnevmatikatos and G. S. Sohi, Guarded execution and branch prediction in dynamic ilp processors, Proceedings of the 21st annual international symposium on Computer architecture, ser. ISCA '94, pp.120-129, 1994.

E. Quiñones, J. Parcerisa, and A. Gonzalez, Selective predicate prediction for out-of-order processors, Proceedings of the 20th annual international conference on Supercomputing , ICS '06, pp.46-54, 2006.
DOI : 10.1145/1183401.1183410

E. Quinones, J. Parcerisa, and A. Gonzailez, Improving Branch Prediction and Predicated Execution in Out-of-Order Processors, 2007 IEEE 13th International Symposium on High Performance Computer Architecture, pp.75-84346186, 2007.
DOI : 10.1109/HPCA.2007.346186

A. Seznec, Analysis of the O-GEometric History Length branch predictor, ISCA, pp.394-405, 2005.

A. Seznec and P. Michaud, A case for (partially) tagged geometric history length branch prediction, Journal of Instruction Level Parallelism, 2006.

B. Simon, B. Calder, and J. Ferrante, Incorporating predicate information into branch predictors, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings., pp.53-64, 2003.
DOI : 10.1109/HPCA.2003.1183524

URL : http://cadal.cse.nsysu.edu.tw/seminar/seminar_file/2006/060403_cchu_paper.pdf

J. E. Smith, A study of branch prediction strategies, 25 years of the international symposia on Computer architecture (selected papers) , ISCA '98, pp.135-148, 1981.
DOI : 10.1145/285930.285980

R. St, D. A. Amant, D. Jimenez, and . Burger, Low-power, highperformance analog neural branch prediction, Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture, ser. MICRO 41, pp.447-458, 2008.

D. Tarjan and K. Skadron, Merging path and gshare indexing in perceptron branch prediction, ACM Transactions on Architecture and Code Optimization, vol.2, issue.3, pp.280-300, 2005.
DOI : 10.1145/1089008.1089011

G. S. Tyson, The effects of predicated execution on branch prediction, Proceedings of the 27th annual international symposium on Microarchitecture , MICRO 27, pp.196-206, 1994.
DOI : 10.1145/192724.192753

P. H. Wang, H. Wang, R. Kling, K. Ramakrishnan, and J. P. Shen, Register renaming and scheduling for dynamic execution of predicated code, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture, pp.15-25, 2001.
DOI : 10.1109/HPCA.2001.903248