V. H. Allan, R. B. Jones, R. M. Lee, and S. J. Allan, Software pipelining, ACM Computing Surveys, vol.27, issue.3, pp.367-432, 1995.
DOI : 10.1145/212094.212131

C. Bernard and F. Clermidy, A low-power VLIW processor for 3GPP-LTE complex numbers processing, 2011 Design, Automation & Test in Europe, pp.1-6, 2011.
DOI : 10.1109/DATE.2011.5763048

F. Clermidy, C. Bernard, R. Lemaire, J. Martin, I. Miro-panades et al., A 477mW NoC-based digital baseband for MIMO 4G SDR, 2010 IEEE International Solid-State Circuits Conference, (ISSCC), pp.278-279, 2010.
DOI : 10.1109/ISSCC.2010.5433920

G. Desoli, Instruction Assignment for Clustered VLIW DSP Compilers: A New Approach, HP Laboratories Technical Report HPL, 1998.

J. R. Ellis, Bulldog: A Compiler for VLIW Architectures, p.8600982, 1985.

M. V. Eriksson, O. Skoog, and C. W. Kessler, Optimal vs. heuristic integrated code generation for clustered VLIW architectures, Proceedings of the 11th international workshop on Software & compilers for embedded systems, SCOPES '08, pp.11-20, 2008.
DOI : 10.1145/1361096.1361099

D. Grant and P. B. Denyer, Address generation for array access based on modulus m counters, Proceedings of the European Conference on Design Automation., 1991.
DOI : 10.1109/EDAC.1991.206373

J. P. Grossman, Compiler and Architectural Techniques for Improving the Effectiveness of VLIW Compilation

I. Iec, Programming Languages -C -Extensions to Support Embedded Processors, 2006.

M. K. Jain, M. Balakrishnan, and A. Kumar, ASIP design methodologies: survey and issues, VLSI Design 2001. Fourteenth International Conference on VLSI Design, p.76, 2001.
DOI : 10.1109/ICVD.2001.902643

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.19.1875

S. Larin and A. Trick, BOF: Instruction Scheduling for Superscalar and VLIW Platforms. Temporal Perspective, LLVM Developers' Meeting, 2012.

C. Lattner and V. Adve, LLVM: A compilation framework for lifelong program analysis & transformation, International Symposium on Code Generation and Optimization, 2004. CGO 2004., 2004.
DOI : 10.1109/CGO.2004.1281665

C. Liem, P. Paulin, and A. Jerraya, Address calculation for retargetable compilation and exploration of instruction-set architectures, Design and Automation Conference (DAC '96), 1996.
URL : https://hal.archives-ouvertes.fr/hal-00008023

S. Liu, R. Lo, and F. Chow, Loop induction variable canonicalization in parallelizing compilers, Proc. of the 1996 Conference on Parallel Architectures and Compilation Techniques (PACT'96)

P. Marwedel, G. Goosens, ]. Ozer, S. Banerjia, and T. M. Conte, Code Generation for Embedded Processors. Kluwer Register Allocation in LLVM 3.0. LLVM Developers' Meeting Unified Assign and Schedule: A New Approach to Scheduling for Clustered Register File Microarchitectures, Proceedings of the 31st annual ACM/IEEE international symposium on Microarchitecture, MICRO 31, pp.308-315, 1995.

J. Park, S. Park, J. D. Balfour, D. Black-schaffer, C. Kozyrakis et al., Register Pointer Architecture for Efficient Embedded Processors, 2007 Design, Automation & Test in Europe Conference & Exhibition, pp.600-605, 2007.
DOI : 10.1109/DATE.2007.364659

M. Poletto and V. Sarkar, Linear scan register allocation, ACM Transactions on Programming Languages and Systems, vol.21, issue.5, pp.895-913, 1999.
DOI : 10.1145/330249.330250

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.27.2462

S. Pop, A. Cohen, and G. Silber, Induction Variable Analysis with Delayed Abstractions, HiPEAC), number 3793, pp.218-232, 2005.
DOI : 10.1007/11587514_15

URL : https://hal.archives-ouvertes.fr/hal-01257294

M. Postiff and T. Mudge, Smart Register Files for High-Performance Microprocessors, 1999.

J. Van-de-waerdt, S. Vassiliadis, S. Das, S. Mirolo, C. Yen et al., The TM3270 Media-Processor, 38th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'05), pp.12-342, 2005.
DOI : 10.1109/MICRO.2005.35

M. J. Wolfe, Beyond induction variables, PLDI'92, pp.162-174, 1992.
DOI : 10.1145/143103.143131

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.48.1292

J. Zalamea, J. Llosa, E. Ayguadé, and M. Valero, Two-level Hierarchical Register File Organization for VLIW Processors, Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture, pp.137-146, 2000.

J. Zhang, Z. Zhang, S. Zhou, M. Tan, X. Liu et al., Bit-level optimization for high-level synthesis and FPGA-based acceleration, Proceedings of the 18th annual ACM/SIGDA international symposium on Field programmable gate arrays, FPGA '10, 2010.
DOI : 10.1145/1723112.1723124