L. Atieno, J. Allen, D. Goeckel, and R. Tessier, An adaptive Reed-Solomon errors-and-erasures decoder, Proceedings of the internation symposium on Field programmable gate arrays , FPGA'06, pp.150-158, 2006.
DOI : 10.1145/1117201.1117224

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.76.6026

P. Sedcole, P. Y. Cheung, G. Constantinides, and W. Luk, Run-Time Integration of Reconfigurable Video Processing Systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.15, issue.9, pp.1003-1016, 2002.
DOI : 10.1109/TVLSI.2007.902203

D. Unnikrishnan, R. Vadlamani, Y. Liao, J. Crenne, L. Gao et al., Reconfigurable Data Planes for Scalable Network Virtualization, IEEE Transactions on Computers, vol.62, issue.12, pp.2476-2488, 2013.
DOI : 10.1109/TC.2012.155

K. Compton, Z. Li, J. Cooley, S. Knol, and S. Hauck, Configuration relocation and defragmentation for run-time reconfigurable computing, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.10, issue.3, pp.209-220, 2002.
DOI : 10.1109/TVLSI.2002.1043324

J. Mignolet, V. Nollet, P. Coene, D. Verkest, S. Vernalde et al., Infrastructure for design and management of relocatable tasks in a heterogeneous reconfigurable system-on-chip, 2003 Design, Automation and Test in Europe Conference and Exhibition, pp.986-991, 2003.
DOI : 10.1109/DATE.2003.1253733

F. Lemonnier, P. Millet, G. M. Almeida, M. Hubner, J. Becker et al., Towards future adaptive multiprocessor systems-on-chip: An innovative approach for flexible architectures, 2012 International Conference on Embedded Computer Systems (SAMOS), pp.228-235, 2012.
DOI : 10.1109/SAMOS.2012.6404179

URL : https://hal.archives-ouvertes.fr/hal-00741728

J. Rose, J. Luu, C. W. Yu, O. Densmore, J. Goeders et al., The VTR project, Proceedings of the ACM/SIGDA international symposium on Field Programmable Gate Arrays, FPGA '12
DOI : 10.1145/2145694.2145708

G. Brebner, A virtual hardware operating system for the Xilinx XC6200, Field-Programmable Logic Smart Applications, New Paradigms and Compilers, pp.327-336, 1996.
DOI : 10.1007/3-540-61730-2_35

A. Flynn, A. Gordon-ross, and A. D. George, Bitstream relocation with local clock domains for partially reconfigurable FPGAs, 2009 Design, Automation & Test in Europe Conference & Exhibition, pp.300-303, 2009.
DOI : 10.1109/DATE.2009.5090676

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.535.2870

M. L. Silva and J. C. Ferreira, Creation of Partial FPGA Configurations at Run-Time, 2010 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, pp.80-87, 2010.
DOI : 10.1109/DSD.2010.14

J. Carver, N. Pittman, and A. Forin, Relocation of FPGA partial configuration bit-streams for soft-core microprocessors, Workshop on Soft Processor Systems, 2008.

H. Tan and R. F. Demara, A multilayer framework supporting autonomous run-time partial reconfiguration, IEEE Transactions on VLSI Systems, vol.16, issue.5, pp.504-516, 2008.

M. Touiza, G. Ochoa-ruiz, E. Bourennane, A. Guessoum, and K. Messaoudi, A novel methodology for accelerating bitstream relocation in partially reconfigurable systems, Microprocessors and Microsystems, vol.37, issue.3, pp.358-372, 2012.
DOI : 10.1016/j.micpro.2012.07.004

URL : https://hal.archives-ouvertes.fr/hal-00730221

Y. E. Krasteva, E. De-la-torre, T. Riesgo, and D. Joly, Virtex II FPGA Bitstream Manipulation: Application to Reconfiguration Control Systems, 2006 International Conference on Field Programmable Logic and Applications, pp.1-4, 2006.
DOI : 10.1109/FPL.2006.311298

T. Becker, W. Luk, and P. Y. Cheung, Enhancing Relocatability of Partial Bitstreams for Run-Time Reconfiguration, 15th Annual IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM 2007), pp.35-44, 2007.
DOI : 10.1109/FCCM.2007.51

V. Betz, J. Rose, and A. Marquardt, Architecture and CAD for Deep- Submicron FPGAS, 1999.
DOI : 10.1007/978-1-4615-5145-4