W. J. Dally and B. Towles, Route packets, not wires: on-chip interconnection networks, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), pp.684-689, 2001.
DOI : 10.1109/DAC.2001.935594

J. Hu, R. , and M. , Dyad smart routing for network-on-chip, 41st Design Automation Conference, 2004.

G. M. Chiu, The odd-even turn model for adaptive routing, IEEE Transactions on Parallel and Distributed Systems, pp.729-738, 2000.

C. Glass and L. Ni, The turn model for adaptive routing, 19th annual international Symposium, pp.278-287, 1992.

L. Jain, B. Al-hashimi, M. S. Gaur, V. Laxmi, and A. Narayanan, Nirgam: A simulator for noc interconnect routing and application modelling, Design Automation and Test in Europe (DATE), 2007.

S. Wang, X. Zhu, L. Peh, and S. Malik, Orion: A power-performance simulator for interconnection networks, 35th annual ACM/IEEE International Symposium on Micro architecture, 2002.

A. B. Kahng, B. Li, L. S. Peh, and K. Samadi, ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration, 2009 Design, Automation & Test in Europe Conference & Exhibition, 2009.
DOI : 10.1109/DATE.2009.5090700

M. H. Cho, M. Lis, K. S. Shim, M. Kinsy, and S. Devadas, Path-based, randomized, oblivious, minimal routing, Proceedings of the 2nd International Workshop on Network on Chip Architectures, NoCArc '09, 2009.
DOI : 10.1145/1645213.1645220

N. Rameshan, A. Biyani, M. Gaur, V. Laxmi, and M. Ahmed, Qos aware minimally adaptive xy routing for noc, 17th International Conference on Advanced Computing and Communication (ADCOM), 2009.

C. Glass and L. Ni, Adaptive routing in mesh-connected networks, [1992] Proceedings of the 12th International Conference on Distributed Computing Systems, 1992.
DOI : 10.1109/ICDCS.1992.235060

M. B. Marvasti, M. Daneshtalab, A. Afzali-kusha, and S. Mohammadi, PAMPR: Power-aware and minimum path routing algorithm for NoCs, 2008 15th IEEE International Conference on Electronics, Circuits and Systems, 2008.
DOI : 10.1109/ICECS.2008.4674879

J. Duato, S. Yalamanchili, and L. Ni, Interconnection Networks-An Engineering Approach, 2003.

W. J. Dally and B. Towles, Principles and Practices of Interconnection Networks, 2003.

G. V. Varatkar and R. Marculescu, On-chip traffic modeling and synthesis for MPEG-2 video applications, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.12, issue.1, pp.108-127, 2004.
DOI : 10.1109/TVLSI.2003.820523

V. Soteriou, H. Wang, and L. Peh, A Statistical Traffic Model for On-Chip Interconnection Networks, 14th IEEE International Symposium on Modeling, Analysis, and Simulation, pp.104-116, 2006.
DOI : 10.1109/MASCOTS.2006.9

V. Paxson, Fast, approximate synthesis of fractional Gaussian noise for generating self-similar network traffic, ACM SIGCOMM Computer Communication Review, vol.27, issue.5, pp.5-18, 1997.
DOI : 10.1145/269790.269792

A. M. Rahmani, A. Afzali-kusha, and M. Pedram, A Novel Synthetic Traffic Pattern for Power/Performance Analysis of Network-on-Chips Using Negative Exponential Distribution, Journal of Low Power Electronics, vol.5, issue.3, pp.1-10, 2009.
DOI : 10.1166/jolpe.2009.1039