W. J. Dally and B. Towles, Route Packets, Not Wires: On-Chip Interconnection Networks. Design Automation Conf, pp.683-689, 2001.
DOI : 10.1109/dac.2001.935594

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.1.5322

P. P. Pande, C. Grecu, A. Ivanov, and R. Saleh, Design of a switch for network on chip applications, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03., pp.217-220, 2003.
DOI : 10.1109/ISCAS.2003.1206235

S. Kumar, A. Jantsch, J. Soininen, M. Forsell, M. Millberg et al., A network on chip architecture and design methodology. VLSI, Proceedings of IEEE computer society annual symposium on, pp.105-112, 2002.

F. Karim, A. Nguyen, and S. Dey, An interconnect architecture for networking System on Chips, Micro, IEEE, vol.22, issue.5, pp.36-45, 2002.

L. Bononi and N. Concer, Simulation and analysis of network on chip architectures: ring, spidergon and 2D mesh, Proceedings of the Design Automation & Test in Europe Conference, pp.6-10, 2006.
DOI : 10.1109/DATE.2006.243841

M. Millberg, E. Nilsson, R. Thid, S. Kumar, and . Jantsch, The Nostrum backbone -a communication protocol stack for networks on chip, VLSI Design, Proceedings. 17th International Conference on, pp.693-696, 2004.

E. Rijpkema and K. Goossens, A router architecture for networks on silicon, 2001.

M. B. Taylor, J. Kim, and J. Miller, The Raw microprocessor: a computational fabric for software circuits and general-purpose programs, IEEE Micro, vol.22, issue.2, pp.25-35, 2002.
DOI : 10.1109/MM.2002.997877

M. Dall-'osso, G. Biccari, L. Giovannini, and L. Benini, Xpipes: a latency insensitive parameterized network-on-chip architecture for multi-processor SoCs, Proc. ICCD, pp.536-539, 2003.

Z. Liuhao, J. Xuecheng, C. Lixin, Z. Meng, and . Kefeng, S-mesh: A mesh-based on-chip network with separation of control and transmission. The journal of China universities of posts and telecommunications, pp.86-92102, 2009.

N. Mckeown, Fast Switched Backplane for a Gigabit Switched Router[online] Avaliable from, 2008.

T. Yuan, X. Y. Fan, and L. Jing, Application specific network on-chip architecture, Computer Engineering and Applications, vol.43, issue.6, pp.88-91, 2007.

T. Y. Terry, On-chip multiprocessor communication network design and analysis, 2003.

L. Benini and D. Bertozzi, Network-on-chip architectures and design methods. Computers and Digital Techniques, IEEE Proc, vol.152, issue.6, pp.261-272, 2005.

H. Hossain, M. Ahmed, A. Nayeem, T. Z. Islam, and M. Akbar, Gpnocsim - A General Purpose Simulator for Network-On-Chip, 2007 International Conference on Information and Communication Technology, pp.254-257, 2007.
DOI : 10.1109/ICICT.2007.375388

J. Kim, C. Nicopoulos, D. Park, R. Das, R. Xie et al., A Novel Dimensionally-Decomposed Router for On-Chip Communication in 3D Architectures, 34th International Symposium on Computer Architecture (ISCA2007), pp.138-149, 2007.

P. T. Wolkotte, G. J. Smit, J. M. Rauwerda, G. K. Smit, and L. T. , An energy-efficient reconfigurable circuit-switched NOC, Proceedings of 19th IEEE International Parallel and Distributed Processing Symposium(IPDPS 2005, pp.155-163, 2005.
DOI : 10.1109/ipdps.2005.95

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.80.1240

J. H. Bahn, S. E. Lee, and N. Bagherzadeh, Design of a router for network-on-chip, International Journal of High Performance Systems Architecture, vol.1, issue.2, pp.98-105, 2007.
DOI : 10.1504/IJHPSA.2007.015395

M. B. Stensgaard and J. Sparso, ReNoC: A Network-on-Chip Architecture with Reconfigurable Topology, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008), pp.55-64, 2008.
DOI : 10.1109/NOCS.2008.4492725

J. Dielissen, A. R?dulescu, K. Goossens, and E. Rijpkema, Concepts and implementation of the Philips network-on-chip, 2003.

L. Benini and D. Bertozzi, Network-on-chip architectures and design methods, IEE Proceedings of Computers and Digital Techniques, pp.261-272, 2005.
DOI : 10.1049/pbcs018e_ch17

E. Rijpkema, K. Goossens, A. R?dulescu, J. Dielissen, J. Meerbergen et al., Trade Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip, IEE Proceedings of Computers and Digital Techniques, pp.294-302, 2003.

E. Bolotin, I. Cidon, R. Ginosar, and A. Kolodny, QNoC: QoS architecture and design process for network on chip, Journal of Systems Architecture, vol.50, issue.2-3, pp.105-128, 2004.
DOI : 10.1016/j.sysarc.2003.07.004

P. Zipf, H. Hinkelmann, A. Ashraf, and M. Glesner, A switch architecture and signal synchronization for GALS system-on-chips, Proceedings of the 17th symposium on Integrated circuits and system design , SBCCI '04, pp.210-215, 2004.
DOI : 10.1145/1016568.1016625