C. Fang, S. Carr, S. Onder, W. , and Z. , Reuse-distance-based miss-rate prediction on a per instruction basis, Proceedings of the 2004 workshop on Memory system performance , MSP '04, pp.60-68, 2004.
DOI : 10.1145/1065895.1065906

C. Ding and Y. Zhong, Predicting whole-program locality through reuse distance analysis PLDI '03, Proceedings of the ACM SIGPLAN 2003 Conference on Programming Language Design and Implementation, pp.245-257, 2003.
DOI : 10.1145/781131.781159

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.4.6499

K. Beyls, D. Hollander, and E. H. , Reuse Distance-Based Cache Hint Selection, Proceedings of the 8th international Euro-Par Conference on Parallel Processing, pp.265-274, 2002.
DOI : 10.1007/3-540-45706-2_35

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.13.690

Y. Bao, M. Chen, Y. Ruan, L. Liu, J. Fan et al., HMTT: a platform independent full-system memory trace monitoring system, Proceedings of the 2008 ACM SIGMETRICS international Conference on Measurement and Modeling of Computer Systems SIGMETRICS '08, pp.229-240, 2008.

D. Sweetman, See MIPS Run, 2006.

R. A. Sugumar and S. G. Abraham, Multi-configuration simulation algorithms for the evaluation of computer architecture designs, 1993.

R. L. Mattson, J. Gecsei, D. Slutz, and I. L. Traiger, Evaluation techniques for storage hierarchies, IBM Systems Journal, vol.9, issue.2, pp.78-117, 1970.
DOI : 10.1147/sj.92.0078

J. E. Smith and J. R. Goodman, A study of instruction cache organizations and replacement policies, ACM SIGARCH Computer Architecture News, vol.11, issue.3, pp.132-137, 1983.
DOI : 10.1145/1067651.801648

E. Berg and E. Hagersten, Fast data-locality profiling of native execution, ACM SIGMETRICS Performance Evaluation Review, vol.33, issue.1, pp.169-180, 2005.
DOI : 10.1145/1071690.1064232

F. Guo and Y. Solihin, An analytical model for cache replacement policy performance SIGMET- RICS '06/Performance '06, Proceedings of the Joint international Conference on Measurement and Modeling of Computer Systems, pp.228-239, 2006.

D. Chandra, F. Guo, S. Kim, and Y. Solihin, Predicting Inter-Thread Cache Contention on a Chip Multi-Processor Architecture, 11th International Symposium on High-Performance Computer Architecture, pp.340-351, 2005.
DOI : 10.1109/HPCA.2005.27

G. E. Suh, S. Devadas, R. , and L. , Analytical cache models with applications to cache partitioning, Proceedings of the 15th international Conference on Supercomputing ICS '01, pp.1-12, 2001.

A. Agarwal, J. Hennessy, and M. Horowitz, An analytical cache model, ACM Transactions on Computer Systems, vol.7, issue.2, pp.184-215, 1989.
DOI : 10.1145/63404.63407