P. Ahuja, D. Clark, and A. Rogers, The performance impact of incomplete bypassing in processor pipelines, Proceedings of the 28th Annual International Symposium on Microarchitecture, 1995.
DOI : 10.1109/MICRO.1995.476809

T. M. Austin, DIVA: a reliable substrate for deep submicron microarchitecture design, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture, 1999.
DOI : 10.1109/MICRO.1999.809458

N. Binkert, B. Beckmann, G. Black, S. K. Reinhardt, A. Saidi et al., The gem5 simulator, ACM SIGARCH Computer Architecture News, vol.39, issue.2, pp.1-7, 2011.
DOI : 10.1145/2024716.2024718

G. Z. Chrysos and J. S. Emer, Memory dependence prediction using store sets, the International Symposium on Computer Architecture, 1998.
DOI : 10.1109/isca.1998.694770

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.10.6285

R. Eickemeyer and S. Vassiliadis, A load-instruction unit for pipelined processors, IBM Journal of Research and Development, vol.37, issue.4, pp.547-564, 1993.
DOI : 10.1147/rd.374.0547

D. Ernst and T. Austin, Efficient dynamic scheduling through tag elimination, the International Symposium on Computer Architecture, 2002.
DOI : 10.1145/545214.545221

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.106.7152

B. Fahs, T. Rafacz, S. J. Patel, and S. S. Lumetta, Continuous optimization, 32nd International Symposium on Computer Architecture (ISCA'05), 2005.
DOI : 10.1109/ISCA.2005.19

K. I. Farkas, P. Chow, N. P. Jouppi, and Z. Vranesic, The multicluster architecture: reducing cycle time through partitioning, Proceedings of 30th Annual International Symposium on Microarchitecture, 1997.
DOI : 10.1109/MICRO.1997.645806

B. Fields, S. Rubin, and R. Bodík, Focusing processor policies via critical-path prediction, the International Symposium on Computer Architecture, 2001.
DOI : 10.1145/384285.379253

URL : http://cadal.cse.nsysu.edu.tw/seminar/seminar_file/2002/10/Focusing processor policies via critical-path prediction.pdf

F. Gabbay and A. Mendelson, Using value prediction to increase the power of speculative execution hardware, ACM Transactions on Computer Systems, vol.16, issue.3, pp.234-270, 1998.
DOI : 10.1145/290409.290411

S. Gochman, R. Ronen, I. Anati, A. Berkovits, T. Kurts et al., The Intel Pentium M processor: MicroArchitecture and performance, Intel Technology Journal, vol.7, 2003.

B. Goeman, H. Vandierendonck, and K. De-bosschere, Differential FCM: increasing value prediction accuracy by improving table usage efficiency, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture, 2001.
DOI : 10.1109/HPCA.2001.903264

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.69.6057

. Intel, Intel 64 and IA-32 Architectures Software Developer's Manual, 2012.

S. Jourdan, R. Ronen, M. Bekerman, B. Shomar, and A. Yoaz, A novel renaming scheme to exploit value temporal locality through physical register reuse and unification, Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture, 1998.
DOI : 10.1109/MICRO.1998.742783

R. E. Kessler, E. J. Mclellan, and D. A. Webb, The Alpha 21264 microprocessor architecture, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273), 1998.
DOI : 10.1109/ICCD.1998.727028

I. Kim and M. H. Lipasti, Half-price Architecture, the International Symposium on Computer Architecture, 2003.
DOI : 10.1145/859618.859623

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.13.2939

I. Kim and M. H. Lipasti, Understanding scheduling replay schemes, the International Symposium on High Performance Computer Architecture, 2004.

M. H. Lipasti and J. P. Shen, Exceeding the dataflow limit via value prediction, Proceedings of the 29th Annual IEEE/ACM International Symposium on Microarchitecture. MICRO 29, 1996.
DOI : 10.1109/MICRO.1996.566464

M. Lipasti, C. Wilkerson, and J. Shen, Value locality and load value prediction, the International conference on Architectural Support for Programming Languages and Operating Systems, 1996.
DOI : 10.1145/237090.237173

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.122.8305

A. Lukefahr, S. Padmanabha, R. Das, F. Sleiman, R. Dreslinski et al., Composite Cores: Pushing Heterogeneity Into a Core, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, 2012.
DOI : 10.1109/MICRO.2012.37

URL : http://cccp.eecs.umich.edu/papers/lukefahr_micro12.pdf

M. M. Martin, D. J. Sorin, H. W. Cain, M. D. Hill, and M. H. Lipasti, Correctly implementing value prediction in microprocessors that support multithreading or multiprocessing, Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture. MICRO-34, 2001.
DOI : 10.1109/MICRO.2001.991130

A. Mendelson and F. Gabbay, Speculative execution based on value prediction, 1997.

T. Nakra, R. Gupta, and M. Soffa, Global context-based value prediction, Proceedings Fifth International Symposium on High-Performance Computer Architecture, pp.4-12, 1999.
DOI : 10.1109/HPCA.1999.744311

S. Palacharla, N. Jouppi, and J. Smith, Complexity-effective superscalar processors, the International Symposium on Computer Architecture, 1997.
DOI : 10.1145/384286.264201

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.527.5571

A. Perais and A. Seznec, Practical data value speculation for future high-end processors, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA), 2014.
DOI : 10.1109/HPCA.2014.6835952

URL : https://hal.archives-ouvertes.fr/hal-01088116

E. Perelman, G. Hamerly, and B. Calder, Picking statistically valid and early simulation points, Oceans 2002 Conference and Exhibition. Conference Proceedings (Cat. No.02CH37362), 2003.
DOI : 10.1109/PACT.2003.1238020

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.6.1240

V. Petric, T. Sha, and A. Roth, RENO: a rename-based instruction optimizer, 32nd International Symposium on Computer Architecture (ISCA'05), 2005.
DOI : 10.1109/ISCA.2005.43

B. Rychlik, J. Faistl, B. Krug, A. Kurland, J. Sung et al., Efficient and accurate value prediction using dynamic classification, 1998.

Y. Sazeides and J. Smith, The predictability of data values, Proceedings of 30th Annual International Symposium on Microarchitecture, 1997.
DOI : 10.1109/MICRO.1997.645815

A. Seznec, Storage free confidence estimation for the TAGE branch predictor, 2011 IEEE 17th International Symposium on High Performance Computer Architecture, 2011.
DOI : 10.1109/HPCA.2011.5749750

URL : https://hal.archives-ouvertes.fr/inria-00512130

A. Seznec and P. Michaud, A case for (partially) TAgged GEometric history length branch prediction, Journal of Instruction Level Parallelism, vol.8, 2006.

A. Seznec, E. Toullec, and O. Rochecouste, Register write specialization register read specialization: a path to complexity-effective wide-issue superscalar processors, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings., 2002.
DOI : 10.1109/MICRO.2002.1176265

R. Thomas and M. Franklin, Using dataflow based context for accurate value prediction, Proceedings 2001 International Conference on Parallel Architectures and Compilation Techniques, 2001.
DOI : 10.1109/PACT.2001.953292

F. Tseng and Y. N. Patt, Achieving out-of-order performance with almost in-order complexity, the International Symposium on Computer Architecture, 2008.

E. S. Tune, D. M. Tullsen, and B. Calder, Quantifying instruction criticality, Proceedings.International Conference on Parallel Architectures and Compilation Techniques, 2002.
DOI : 10.1109/PACT.2002.1106008

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.19.1251

S. Wallace and N. Bagherzadeh, A scalable register file architecture for dynamically scheduled processors, Proceedings of the 1996 Conference on Parallel Architectures and Compilation Technique, 1996.
DOI : 10.1109/PACT.1996.552666

K. Wang and M. Franklin, Highly accurate data value prediction using hybrid predictors, Proceedings of 30th Annual International Symposium on Microarchitecture, 1997.
DOI : 10.1109/MICRO.1997.645819

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.16.9417

H. Zhou, J. Flanagan, and T. M. Conte, Detecting global stride locality in value streams, the International Symposium on Computer Architecture, 2003.

V. Zyuban and P. Kogge, The energy complexity of register files, Proceedings of the 1998 international symposium on Low power electronics and design , ISLPED '98, pp.305-310, 1998.
DOI : 10.1145/280756.280943