I. O. Connor, Reduction Methods for Adapting Optical Network on Chip Topologies to Specific Routing Applications, Proceedings of DCIS, 2008.

A. Shacham, K. Bergman, and L. P. Carloni, Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors, IEEE Transactions on Computers, vol.57, issue.9, pp.1246-1260, 2008.
DOI : 10.1109/TC.2008.78

Y. Ye, A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip, ACM Journal on Emerging Technologies in Computing Systems, vol.8, issue.1, 2012.
DOI : 10.1145/2093145.2093150

S. L. Beux, Layout Guidelines for 3D Architectures including Optical Ring Network-on-Chip (ORNoC), 19th IFIP/IEEE VLSI-SOC International Conference, 2011.
URL : https://hal.archives-ouvertes.fr/inria-00618605

L. Ramini, D. Bertozzi, and L. P. Carloni, Engineering a Bandwidth-Scalable Optical Layer for a 3D Multi-core Processor with Awareness of Layout Constraints, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, 2012.
DOI : 10.1109/NOCS.2012.29

A. Biberman, K. Preston, G. Hendry, N. Sherwood-droz, J. Chan et al., Photonic network-on-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors, ACM Journal on Emerging Technologies in Computing Systems, vol.7, issue.2, pp.1-725, 2011.
DOI : 10.1145/1970406.1970409

D. Vantrease, Corona: System Implications of Emerging Nanophotonic Technology, 2008 International Symposium on Computer Architecture, pp.153-164, 2008.
DOI : 10.1109/ISCA.2008.35

J. Psota, ATAC: Improving performance and programmability with on-chip optical networks, Proceedings of 2010 IEEE International Symposium on Circuits and Systems, pp.3325-3328, 2010.
DOI : 10.1109/ISCAS.2010.5537892

J. Van-campenhout, A Compact SOI-Integrated Multiwavelength Laser Source Based on Cascaded InP Microdisks, Proceedings of the Conference on Design IEEE 16th International Symposium on HPCA Proceedings of the ASPLOS, pp.1345-1347490, 2001.
DOI : 10.1109/LPT.2008.926857

S. Krishnamoorthy, J. Le-beux, I. Trajkovic, G. O-'connor, G. Nicolescu et al., A micro-architectural analysis of switched photonic multi-chip interconnects Multi-Optical Network on Chip for Large Scale MPSoC, 39th Annual International Symposium on Computer Architecture, 2012. [15] IEEE Embedded Systems Letters, pp.77-80, 2010.

I. Loi, F. Angiolini, L. Benini-bianco, D. Cuda, M. Garrich et al., Supporting Vertical Links for 3D Networks-on-Chip: Toward an Automated Design and Analysis Flow PROTON: An Automatic Place-and-Route Tool for Optical Networks, Proceedings of the 2nd international conference on Nano-Networks, Nano-Net Optical Interconnection Networks based on Microring Resonators Proceedings of IEEE International Conference on Communications, pp.1-5, 2007.

V. Donzella, S. T. Fard, and L. Chrostowski, Study of waveguide crosstalk in silicon photonics integrated circuits, Photonics North 2013
DOI : 10.1117/12.2042366