M. Banikazemi, D. Poff, and B. Abali, PAM: A novel performance/power aware meta-scheduler for multi-core systems, 2008 SC, International Conference for High Performance Computing, Networking, Storage and Analysis, 2008.
DOI : 10.1109/SC.2008.5222643

M. Becchi and P. Crowley, Dynamic thread assignment on heterogeneous multiprocessor architectures, Proceedings of the 3rd conference on Computing frontiers , CF '06, 2006.
DOI : 10.1145/1128022.1128029

S. Blagodurov, S. Zhuravlev, and A. Fedorova, Addressing shared resource contention in multicore processors via scheduling, ASPLOS, 2010.

T. D. Braun, H. J. Siegel, N. Beck, L. L. Bölöni, M. Maheswaran et al., A Comparison of Eleven Static Heuristics for Mapping a Class of Independent Tasks onto Heterogeneous Distributed Computing Systems, Journal of Parallel and Distributed Computing, vol.61, issue.6, pp.810-837, 2001.
DOI : 10.1006/jpdc.2000.1714

J. R. Bulpin and I. A. Pratt, Hyper-Threading aware process scheduling heuristics, Proc. of the USENIX Annual Technical Conference, 2005.

T. E. Carlson, W. Heirman, S. Eyerman, I. Hur, and L. Eeckhout, An Evaluation of High-Level Mechanistic Core Models, ACM Transactions on Architecture and Code Optimization, vol.11, issue.3, 2014.
DOI : 10.1145/2629677

T. Creech, A. Kotha, and R. Barua, Efficient multiprogramming for multicores with SCAF, Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-46, 2013.
DOI : 10.1145/2540708.2540737

M. Devuyst, R. Kumar, and D. M. Tullsen, Exploiting unbalanced thread scheduling for energy and performance on a cmp of smt processors, IPDPS, 2006.

A. El-moursy, R. Garg, D. H. Albonesi, and S. Dwarkadas, Compatible phase co-scheduling on a CMP of multi-threaded processors, Proceedings 20th IEEE International Parallel & Distributed Processing Symposium, 2006.
DOI : 10.1109/IPDPS.2006.1639376

S. Eyerman and L. Eeckhout, Probabilistic job symbiosis modeling for SMT processor scheduling, ASPLOS, 2010.

S. Eyerman, P. Michaud, and W. Rogiest, Multi-program throughput metrics: a systematic approach, ACM Transactions on Architecture and Code Optimization, 2014.

S. Eyerman and L. Eeckhout, The benefit of SMT in the multi-core era, Proceedings of the 19th international conference on Architectural support for programming languages and operating systems, ASPLOS '14, 2014.
DOI : 10.1145/2541940.2541954

A. Fedorova, M. Seltzer, and M. D. Smith, A non-work-conserving operating system scheduler for SMT processors, Proc. of the Workshop on the Interaction between Operating Systems and Computer Architecture (WIOSCA), 2006.

D. G. Feitelson, I. T. Watson-research, and . Center, Job scheduling in multiprogrammed parallel systems, IBM Research Report RC, issue.87657, 1997.

M. Harchol-balter16, ]. R. Jain, C. J. Hughes, and S. V. Adve, Performance modeling and design of computer systems -Queuing theory in action Soft real-time scheduling on simultaneous multithreaded processors, Proc. of the IEEE Int. Real- Time Systems Symp. (RTSS), 2002.

Y. Jiang, X. Shen, C. Jie, and R. Tripathi, Analysis and approximation of optimal co-scheduling on chip multiprocessors, Proceedings of the 17th international conference on Parallel architectures and compilation techniques, PACT '08, 2008.
DOI : 10.1145/1454115.1454146

D. Koufaty, D. Reddy, and S. Hahn, Bias scheduling in heterogeneous multi-core architectures, Proceedings of the 5th European conference on Computer systems, EuroSys '10, 2010.
DOI : 10.1145/1755913.1755928

R. Kumar, D. M. Tullsen, P. Ranganathan, N. P. Jouppi, and K. I. Farkas, Single-ISA heterogeneous multi-core architectures for multithreaded workload performance, ISCA, 2004.

E. L. Lawler and J. Labetoulle, On Preemptive Scheduling of Unrelated Parallel Processors by Linear Programming, Journal of the ACM, vol.25, issue.4, pp.612-619, 1978.
DOI : 10.1145/322092.322101

R. L. Mcgregor, C. D. Antonopoulos, and D. S. Nikolopoulos, Scheduling Algorithms for Effective Thread Pairing on Hybrid Multiprocessors, 19th IEEE International Parallel and Distributed Processing Symposium, 2005.
DOI : 10.1109/IPDPS.2005.390

A. Merkel, J. Stoess, and F. Bellosa, Resource-conscious scheduling for energy efficiency on multicore processors, Proceedings of the 5th European conference on Computer systems, EuroSys '10, 2010.
DOI : 10.1145/1755913.1755930

L. J. Miller, A heterogeneous multiprocessor design and the distributed scheduling of its task group workload, ISCA, 1982.
DOI : 10.1145/1067649.801737

H. Najaf-abadi and E. Rotenberg, The importance of accurate task arrival characterization in the design of processing cores, 2009 IEEE International Symposium on Workload Characterization (IISWC), 2009.
DOI : 10.1109/IISWC.2009.5306795

J. Nakajima and V. Pallipadi, Enhancement for Hyper-Threading technology in the operating system -seeking the optimal scheduling, Proc. of the 2nd Workshop on Industrial Experiences with Systems Software, 2002.

S. Parekh, S. Eggers, H. Levy, and J. Lo, Thread-sensitive scheduling for SMT processors, 2000.

S. E. Raasch and S. K. Reinhardt, The impact of resource partitioning on SMT processors, Oceans 2002 Conference and Exhibition. Conference Proceedings (Cat. No.02CH37362), 2003.
DOI : 10.1109/PACT.2003.1237998

M. Cazorla, M. Nemirovsky, and . Valero, Optimal task assignment in multithreaded processors: a statistical approach, ASPLOS, 2012.

J. C. Saez, M. Prieto, A. Fedorova, and S. Blagodurov, A comprehensive scheduler for asymmetric multicore systems, Proceedings of the 5th European conference on Computer systems, EuroSys '10, 2010.
DOI : 10.1145/1755913.1755929

L. Schrage, Letter to the Editor???A Proof of the Optimality of the Shortest Remaining Processing Time Discipline, Operations Research, vol.16, issue.3, pp.687-690, 1968.
DOI : 10.1287/opre.16.3.687

B. Schroeder, A. Wierman, and M. Harchol-balter, Open versus closed: a cautionary tale, NSDI, 2006.

A. Settle, J. Kihm, A. Janiszewski, and D. Connors, Architectural support for enhanced SMT job scheduling, Proceedings. 13th International Conference on Parallel Architecture and Compilation Techniques, 2004. PACT 2004., 2004.
DOI : 10.1109/PACT.2004.1342542

A. Snavely and D. M. Tullsen, Symbiotic jobscheduling for a simultaneous multithreading processor, ASPLOS, 2000.

A. Snavely, D. M. Tullsen, and G. Voelker, Symbiotic jobscheduling with priorities for a simultaneous multithreading processor, 2002.

K. Tian, Y. Jiang, X. Shen, and W. Mao, Optimal Co-Scheduling to Minimize Makespan on Chip Multiprocessors, Proc. of the 16th Workshop on Job Scheduling Strategies for Parallel Processing, 2012.
DOI : 10.1007/978-3-642-35867-8_7

N. Tuck and D. M. Tullsen, Initial observations of the simultaneous multithreading Pentium 4 processor, Oceans 2002 Conference and Exhibition. Conference Proceedings (Cat. No.02CH37362), 2003.
DOI : 10.1109/PACT.2003.1237999

D. M. Tullsen, S. J. Eggers, J. S. Emer, H. M. Levy, J. L. Lo et al., Exploiting choice: Instruction fetch and issue on an implementable simultaneous multithreading processor, ISCA, 1996.

K. Van-craeynest, A. Jaleel, L. Eeckhout, P. Narvaez, and J. Emer, Scheduling heterogeneous multi-cores through performance impact estimation (PIE), ISCA, 2012.

D. Xu, C. Wu, and P. Yew, On mitigating memory bandwidth contention through bandwidth-aware scheduling, Proceedings of the 19th international conference on Parallel architectures and compilation techniques, PACT '10, 2010.
DOI : 10.1145/1854273.1854306

S. Zhuravlev, J. C. Saez, S. Blagodurov, A. Fedorova, and M. Prieto, Survey of scheduling techniques for addressing shared resources in multicore processors, ACM Computing Surveys, vol.45, issue.1, 2012.
DOI : 10.1145/2379776.2379780