T. Chen and J. Baer, Effective hardware-based data prefetching for high-performance processors, IEEE Transactions on Computers, vol.44, issue.5, 1995.

F. Dahlgren, M. Dubois, and P. Stenström, Fixed and Adaptive Sequential Prefetching in Shared Memory Multiprocessors, 1993 International Conference on Parallel Processing, ICPP'93 Vol1, 1993.
DOI : 10.1109/ICPP.1993.92

J. W. Fu, J. H. Patel, and B. L. Janssens, Stride directed prefetching in scalar processors, MICRO, 1992.

E. Hagersten, Toward scalable cache only memory architectures, Royal Institute of Technology (KTH), 1992.

I. Hur and C. Lin, Memory Prefetching Using Adaptive Stream Detection, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06), 2006.
DOI : 10.1109/MICRO.2006.32

Y. Ishii, M. Inaba, and K. Hiraki, Access map pattern matching for high performance data cache prefetch, Journal of Instruction-Level Parallelism, vol.13, 2011.

N. Jouppi, Improving direct-mapped cache performance by the addition of a small fully associative cache and prefetch buffers, ISCA, 1990.

W. Lin, S. K. Reinhardt, and D. Burger, Reducing DRAM latencies with an integrated memory hierarchy design, HPCA, 2001.

K. J. Nesbit, A. S. Dhodapkar, and J. E. Smith, AC/DC: an adaptive data cache prefetcher, Proceedings. 13th International Conference on Parallel Architecture and Compilation Techniques, 2004. PACT 2004., 2004.
DOI : 10.1109/PACT.2004.1342548

S. Palacharla and R. Kessler, Evaluating stream buffers as a secondary cache replacement, ISCA, 1994.

S. H. Pugsley, Z. Chishti, C. Wilkerson, P. F. Chuang, R. L. Scott et al., Sandbox Prefetching: Safe run-time evaluation of aggressive prefetchers, 2014 IEEE 20th International Symposium on High Performance Computer Architecture (HPCA), 2014.
DOI : 10.1109/HPCA.2014.6835971

V. Seshadri, S. Yekdar, H. Xin, O. Mutlu, P. B. Gibbons et al., Mitigating Prefetcher-Caused Pollution Using Informed Caching Policies for Prefetched Blocks, ACM Transactions on Architecture and Code Optimization, vol.11, issue.4, 2015.
DOI : 10.1145/2677956

A. Seznec, A case for two-way skewed-associative caches, ISCA, 1993.

I. Sklenar, Prefetch unit for vector operations on scalar computers, Computer Architecture News, vol.20, issue.4, 1992.

A. J. Smith, Cache Memories, ACM Computing Surveys, vol.14, issue.3, 1982.
DOI : 10.1145/356887.356892

S. Srinath, O. Mutlu, H. Kim, and Y. N. Patt, Feedback Directed Prefetching: Improving the Performance and Bandwidth-Efficiency of Hardware Prefetchers, 2007 IEEE 13th International Symposium on High Performance Computer Architecture, 2007.
DOI : 10.1109/HPCA.2007.346185

C. Wu, A. Jaleel, M. Martonosi, S. C. Jr, and J. Emer, PACMan, Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-44 '11, 2011.
DOI : 10.1145/2155620.2155672

X. Zhuang and H. S. Lee, A hardware-based cache pollution filtering mechanism for aggressive prefetches, 2003 International Conference on Parallel Processing, 2003. Proceedings., 2003.
DOI : 10.1109/ICPP.2003.1240591