X. An, E. Rutten, J. P. Diguet, L. Griguer, N. Gamatié et al., Autonomic Management of Reconfigurable Embedded Systems using Discrete Control: Application to FPGA, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00824225

X. An, E. Rutten, J. Diguet, L. Griguer, N. Gamatié et al., Discrete Control for Reconfigurable FPGA-based Embedded Systems*, 4th IFAC Workshop on Dependable Control of Discrete Systems, DCDS '13, 2013.
DOI : 10.3182/20130904-3-UK-4041.00017

URL : https://hal.archives-ouvertes.fr/hal-00862489

N. Berthier and H. Marchand, Discrete Controller Synthesis for Infinite State Systems with ReaX, 12th Int. Workshop on Discrete Event Systems, p.14, 2014.
DOI : 10.3182/20140514-3-FR-4046.00099

URL : https://hal.archives-ouvertes.fr/hal-00974553

P. Cousot and R. Cousot, Abstract interpretation, Proceedings of the 4th ACM SIGACT-SIGPLAN symposium on Principles of programming languages , POPL '77, pp.238-252, 1977.
DOI : 10.1145/512950.512973

URL : https://hal.archives-ouvertes.fr/hal-01108790

G. Delaval, H. Marchand, and E. And-rutten, Contracts for modular discrete controller synthesis, Languages, Compilers, and Tools for Embedded Systems, LCTES '10, pp.57-66, 2010.
URL : https://hal.archives-ouvertes.fr/inria-00436560

G. Delaval, E. Rutten, and H. Marchand, Integrating discrete controller synthesis into a reactive programming language compiler. Discrete Event Dynamic Systems, pp.385-418, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00863286

E. Dumitrescu, A. Girault, H. Marchand, and E. And-rutten, Multicriteria optimal discrete controller synthesis for fault-tolerant tasks, Workshop on Discrete Event Systems, WODES '10, pp.356-363, 2010.

Y. Eustache and J. P. Diguet, Specification and OS-based implementation of self-adaptive, hardware/software embedded systems, Proceedings of the 6th IEEE/ACM/IFIP international conference on Hardware/Software codesign and system synthesis, CODES/ISSS '08, pp.67-72, 2008.
DOI : 10.1145/1450135.1450151

URL : https://hal.archives-ouvertes.fr/hal-00369071

D. Göhringer, M. Hübner, V. Schatz, and J. Becker, Runtime adaptive multi-processor system-on-chip: RAMPSoC, 2008 IEEE International Symposium on Parallel and Distributed Processing, 2008.
DOI : 10.1109/IPDPS.2008.4536503

H. Hinkelmann, P. Zipf, and M. Glesner, Design and evaluation of an energy-efficient dynamically reconfigurable architecture for wireless sensor nodes, 2009 International Conference on Field Programmable Logic and Applications, pp.359-366, 2009.
DOI : 10.1109/FPL.2009.5272268

S. Jovanovi´cjovanovi´c, C. Tanougast, W. , and S. , A New Selfmanaging Hardware Design Approach for FPGA-based Reconfigurable Systems, Reconfigurable Computing: Architectures, Tools and Applications, pp.160-171, 2008.

C. Lu, J. Stankovic, S. Son, and G. Tao, Feedback control real-time scheduling: Framework, modeling and algorithms. Real-Time Systems Journal, Special Issue on Control-Theoretical Approaches to Real-Time Computing, pp.85-126, 2002.

P. Lysaght, B. Blodget, J. Mason, J. Young, and B. Bridgford, Invited Paper: Enhanced Architectures, Design Methodologies and CAD Tools for Dynamic Reconfiguration of Xilinx FPGAs, 2006 International Conference on Field Programmable Logic and Applications, 2006.
DOI : 10.1109/FPL.2006.311188

M. Maggio, H. Hoffmann, A. V. Papadopoulos, J. Panerati, M. D. Santambrogio et al., Comparison of Decision-Making Strategies for Self-Optimization in Autonomic Computing Systems, ACM Transactions on Autonomous and Adaptive Systems, vol.7, issue.4, pp.1-3632, 2012.
DOI : 10.1145/2382570.2382572

H. Marchand and M. Samaan, Incremental design of a power transformer station controller using a controller synthesis methodology, IEEE Transactions on Software Engineering, vol.26, issue.8, pp.729-741, 2000.
DOI : 10.1109/32.879811

URL : https://hal.archives-ouvertes.fr/hal-00546156

H. Marchand, P. Bournai, L. Borgne, M. , L. Guernic et al., Synthesis of discrete-event controllers based on the Signal environment, Discrete Event Dynamic System: Theory and Applications, 2000.
URL : https://hal.archives-ouvertes.fr/hal-00546147

K. Paulsson, M. Hubner, and J. Becker, Strategies to On- Line Failure Recovery in Self- Adaptive Systems based on Dynamic and Partial Reconfiguration, First NASA/ESA Conference on Adaptive Hardware and Systems (AHS'06), 2006.
DOI : 10.1109/AHS.2006.67

F. Sironi, M. Triverio, H. Hoffmann, M. Maggio, and M. Santambrogio, Self-Aware Adaptation in FPGA-based Systems, 2010 International Conference on Field Programmable Logic and Applications, 2010.
DOI : 10.1109/FPL.2010.43