N. Bansal, T. Kimbrel, and K. Pruhs, Speed scaling to manage energy and temperature, Journal of the ACM, vol.54, issue.1, pp.1-39, 2007.
DOI : 10.1145/1206035.1206038

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.550.7426

D. M. Brooks, P. Bose, S. E. Schuster, H. Jacobson, P. N. Kudva et al., Power-aware microarchitecture: Design and modeling challenges for next-generation microprocessors, IEEE Micro, vol.20, issue.6, pp.26-44, 2000.

A. Cavelan, Y. Robert, H. Sun, and F. Vivien, Voltage Overscaling Algorithms for Energy-Efficient Workflow Computations With Timing Errors, Proceedings of the 5th Workshop on Fault Tolerance for HPC at eXtreme Scale, FTXS '15, 2015.
DOI : 10.1145/2751504.2751508

URL : https://hal.archives-ouvertes.fr/hal-01199250

J. Chen and C. Kuo, Energy-Efficient Scheduling for Real-Time Systems on Dynamic Voltage Scaling (DVS) Platforms, 13th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA 2007), 2007.
DOI : 10.1109/RTCSA.2007.37

D. Ernst, S. Das, S. Lee, D. Blaauw, T. Austin et al., Razor: circuit-level correction of timing errors for low-power operation, IEEE Micro, vol.24, issue.6, pp.10-20, 2004.
DOI : 10.1109/MM.2004.85

F. Firouzi, M. E. Salehi, F. Wang, and S. M. Fakhraie, An accurate model for soft error rate estimation considering dynamic voltage and frequency scaling effects, Microelectronics Reliability, vol.51, issue.2, p.51, 2011.
DOI : 10.1016/j.microrel.2010.08.016

R. Ge, X. Feng, and K. W. Cameron, Performance-constrained Distributed DVS Scheduling for Scientific Applications on Power-aware Clusters, ACM/IEEE SC 2005 Conference (SC'05), p.34, 2005.
DOI : 10.1109/SC.2005.57

K. Huang and J. A. Abraham, Algorithm-based fault tolerance for matrix operations, IEEE Trans. Comput, vol.33, issue.6, pp.518-528, 1984.

G. Karakonstantis and K. Roy, Voltage over-scaling: A cross-layer design perspective for energy efficient systems, 2011 20th European Conference on Circuit Theory and Design (ECCTD), pp.548-551, 2011.
DOI : 10.1109/ECCTD.2011.6043592

P. Krause and I. Polian, Adaptive voltage over-scaling for resilient applications, 2011 Design, Automation & Test in Europe, pp.1-6, 2011.
DOI : 10.1109/DATE.2011.5763153

S. Ramasubramanian, S. Venkataramani, A. Parandhaman, and A. Raghunathan, Relax-and-retime, Proceedings of the 50th Annual Design Automation Conference on, DAC '13, 2013.
DOI : 10.1145/2463209.2488871

N. B. Rizvandi, A. Y. Zomaya, Y. C. Lee, A. J. Boloori, and J. Taheri, Multiple Frequency Selection in DVFS-Enabled Processors to Minimize Energy Consumption, Energy- Efficient Distributed Computing Systems, 2012.
DOI : 10.1002/9781118342015.ch17

L. Wang, G. Von-laszewski, J. Dayal, and F. Wang, Towards Energy Aware Scheduling for Precedence Constrained Parallel Tasks in a Cluster with DVFS, 2010 10th IEEE/ACM International Conference on Cluster, Cloud and Grid Computing, 2010.
DOI : 10.1109/CCGRID.2010.19