K. Agrawal, J. T. Fineman, J. Krage, C. E. Leiserson, and S. Toledo, Cache-conscious scheduling of streaming applications, Proceedinbgs of the 24th ACM symposium on Parallelism in algorithms and architectures, SPAA '12, pp.236-245, 2012.
DOI : 10.1145/2312005.2312049

A. Albers and P. De-with, Task complexity analysis and QoS management for mapping dynamic video-processing tasks on a multi-core platform, Journal of Real-Time Image Processing, vol.15, issue.8, pp.185-202, 2012.
DOI : 10.1007/s11554-011-0195-8

R. Albers, E. Suijs, and P. De-with, QoS management of dynamic video tasks by task splitting and skipping, 2009 IEEE/ACM/IFIP 7th Workshop on Embedded Systems for Real-Time Multimedia, pp.64-69, 2009.
DOI : 10.1109/ESTMED.2009.5336827

A. Bonfietti, L. Benini, M. Lombardi, and M. Milano, An efficient and complete approach for throughput-maximal SDF allocation and scheduling on multi-core platforms, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.897-902, 2010.
DOI : 10.1109/DATE.2010.5456924

S. Carpov, L. Cudennec, and R. Sirdey, Throughput Constrained Parallelism Reduction in Cyclo-static Dataflow Applications, Procedia Computer Science, vol.18, pp.30-39
DOI : 10.1016/j.procs.2013.05.166

URL : https://hal.archives-ouvertes.fr/hal-00832508

Y. Choi, C. Li, D. D. Silva, A. Bivens, and E. Schenfeld, Adaptive task duplication using on-line bottleneck detection for streaming applications, Proceedings of the 9th conference on Computing Frontiers, CF '12, pp.163-172, 2012.
DOI : 10.1145/2212908.2212932

R. L. Collins and L. P. Carloni, Flexible filters, Proceedings of the seventh ACM international conference on Embedded software, EMSOFT '09, pp.205-214, 2009.
DOI : 10.1145/1629335.1629363

M. Damavandpeyma, S. Stuijk, M. Geilen, T. Basten, and H. Corporaal, Parametric throughput analysis of scenario-aware dataflow graphs, 2012 IEEE 30th International Conference on Computer Design (ICCD), pp.219-226, 2012.
DOI : 10.1109/ICCD.2012.6378644

M. Dashti, A. Fedorova, J. Funston, F. Gaud, R. Lachaize et al., Traffic management: A holistic approach to memory placement on numa systems, Proceedings of the 18th International Conference on Architectural Support for Programming Languages and Operating Systems, ASPLOS, pp.381-394, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00945758

S. Farhad, Y. Ko, B. Burgstaller, and B. Scholz, Profile-guided deployment of stream programs on multicores, Proceedings of the 13th ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, Tools and Theory for Embedded Systems, LCTES '12, pp.79-88, 2012.
DOI : 10.1145/2248418.2248430

S. M. Farhad, Y. Ko, B. Burgstaller, B. Scholz12, ]. A. Ghamarian et al., Orchestration by approximation, Proceedings of Design, Automation and Test in Europe, pp.357-36808, 2008.
DOI : 10.1145/1961296.1950406

M. I. Gordon, Compiler techniques for scalable performance of stream programs on multicore architectures, 2010.

M. I. Gordon, W. Thies, and S. Amarasinghe, Exploiting coarse-grained task, data, and pipeline parallelism in stream programs, ACM SIGOPS Operating Systems Review, vol.40, issue.5, pp.151-162, 2006.
DOI : 10.1145/1168917.1168877

G. Kahn, The semantics of a simple language for parallel programming, IFIP Congress, 1974.

R. Lachaize, B. Lepers, and V. Quéma, Memprof: A memory profiler for numa multicore systems, Proceedings of the 2012 USENIX Conference on Annual Technical Conference, USENIX ATC'12, pp.5-5
URL : https://hal.archives-ouvertes.fr/hal-00945731

E. A. Lee and D. G. Messerschmitt, Synchronous data flow, Proceedings of the IEEE, pp.1235-1245, 1987.
DOI : 10.1109/PROC.1987.13876

H. Lee, W. Che, and K. Chatha, Dynamic scheduling of stream programs on embedded multi-core processors, Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, CODES+ISSS '12, pp.93-102, 2012.
DOI : 10.1145/2380445.2380465

C. Min and Y. I. Eom, Danbi: dynamic scheduling of irregular stream programs for many-core systems, Proceedings of the 22nd international conference on Parallel Architectures and Compilation Techniques, PACT, pp.189-200, 2013.

C. Miranda, A. Pop, P. Dumont, A. Cohen, and M. Duranton, Erbium: A deterministic, concurrent intermediate representation to map dataflow tasks to scalable, persistent streaming processes, Proceedings of the 2010 International Conference on Compilers, Architectures and Synthesis for Embedded Systems, CASES, pp.11-20, 2010.
URL : https://hal.archives-ouvertes.fr/inria-00551510

D. Molka, D. Hackenberg, R. Schöne, and M. S. Müller, Memory Performance and Cache Coherency Effects on an Intel Nehalem Multiprocessor System, 2009 18th International Conference on Parallel Architectures and Compilation Techniques, pp.261-270, 2009.
DOI : 10.1109/PACT.2009.22

A. Moonen, M. Bekooij, R. Van-den, J. Berg, and . Van-meerbergen, Cache aware mapping of streaming applications on a multiprocessor systemon-chip, Design, Automation and Test in Europe DATE '08, pp.300-305, 2008.

P. Poplavko, M. Geilen, and T. Basten, Predicting the throughput of multiprocessor applications under dynamic workload, 2010 IEEE International Conference on Computer Design, pp.282-288, 2010.
DOI : 10.1109/ICCD.2010.5647740

D. Sanchez, D. Lo, R. M. Yoo, J. Sugerman, and C. Kozyrakis, Dynamic Fine-Grain Scheduling of Pipeline Parallelism, 2011 International Conference on Parallel Architectures and Compilation Techniques, pp.22-32, 2011.
DOI : 10.1109/PACT.2011.9

J. Sermulins, W. Thies, R. Rabbah, and S. Amarasinghe, Cache aware optimization of stream programs, Proceedings of the 2005 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems, LCTES), pp.115-126, 2005.

S. Stuijk, T. Basten, M. Geilen, and H. Corporaal, Multiprocessor resource allocation for throughput-constrained synchronous dataflow graphs, Proceedings of the 44th ACM/IEEE Design Automation Conference, pp.777-782, 2007.

S. Stuijk, M. Geilen, B. Theelen, and T. Basten, Scenario-aware dataflow: Modeling, analysis and implementation of dynamic applications, 2011 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, pp.404-411, 2011.
DOI : 10.1109/SAMOS.2011.6045491

C. Tan, A hybrid static/dynamic approach to scheduling stream programs . Master's thesis, Massachusetts Institute of Technology, 2009.

W. Thies and S. Amarasinghe, An empirical characterization of stream programs and its implications for language and compiler design, Proceedings of the 19th international conference on Parallel architectures and compilation techniques, PACT '10, pp.365-376, 2010.
DOI : 10.1145/1854273.1854319

W. Thies, M. Karczmarek, and S. Amarasinghe, StreamIt: A Language for Streaming Applications, International Conference on Compiler Construction, 2002.
DOI : 10.1007/3-540-45937-5_14

F. Zheng, C. Venkatramani, R. Wagle, and K. Schwan, Cache Topology Aware Mapping of Stream Processing Applications onto CMPs, 2013 IEEE 33rd International Conference on Distributed Computing Systems, pp.52-61, 2013.
DOI : 10.1109/ICDCS.2013.13