I. O. Connor, Reduction Methods for Adapting Optical Network on Chip Topologies to Specific Routing Applications, Proceedings of DCIS, 2008.

A. Shacham, K. Bergman, and L. P. Carloni, Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors, IEEE Transactions on Computers, vol.57, issue.9, pp.1246-1260, 2008.
DOI : 10.1109/TC.2008.78

Y. Ye, A Torus-based Hierarchical Optical-Electronic Networkon-Chip for Multiprocessor System-on-Chip, ACM Journal on Emerging Technologies in Computing Systems, 2012.

S. L. Beux, Layout Guidelines for 3D Architectures including Optical Ring Network-on-Chip (ORNoC), 19th IFIP/IEEE VLSI- SOC International Conference, 2011.
URL : https://hal.archives-ouvertes.fr/inria-00618605

L. Ramini, D. Bertozzi, and L. P. Carloni, Engineering a Bandwidth-Scalable Optical Layer for a 3D Multi-core Processor with Awareness of Layout Constraints, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, 2012.
DOI : 10.1109/NOCS.2012.29

A. Biberman, K. Preston, G. Hendry, N. Sherwood-droz, J. Chan et al., Photonic network-on-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors, ACM Journal on Emerging Technologies in Computing Systems, vol.7, issue.2, pp.1-725, 2011.
DOI : 10.1145/1970406.1970409

D. Vantrease, Corona: System Implications of Emerging Nanophotonic Technology, 2008 International Symposium on Computer Architecture, pp.153-164, 2008.
DOI : 10.1109/ISCA.2008.35

J. Psota, ATAC: Improving performance and programmability with on-chip optical networks, Proceedings of 2010 IEEE International Symposium on Circuits and Systems, pp.3325-3328, 2010.
DOI : 10.1109/ISCAS.2010.5537892

J. Van-campenhout, A Compact SOI-Integrated Multiwavelength Laser Source Based on Cascaded InP Microdisks, IEEE Photonics Technology Letters, vol.20, issue.16, pp.1345-1347, 2008.
DOI : 10.1109/LPT.2008.926857

L. Ramini, P. Grani, S. Bartolini, and D. Bertozzi, Contrasting wavelength-routed optical NoC topologies for powerefficient 3D-stacked multicore processors using physical-layer analysis, Proceedings of the Conference on Design, Automation and Test in Europe (DATE), 2013.

R. Ho, K. W. Mai, and M. A. Horowitz, The future of wires, Proceedings of the IEEE, pp.490-504, 2001.
DOI : 10.1109/5.920580

Y. Pan, J. Kim, and G. Memik, FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar, HPCA, 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture, 2010.
DOI : 10.1109/HPCA.2010.5416626

N. Kirman and J. F. Martinez, A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing, Proceedings of the ASPLOS, 2010.

K. Ho, A. V. Rai, and . Krishnamoorthy, A micro-architectural analysis of switched photonic multi-chip interconnects, 39th Annual International Symposium on Computer Architecture, 2012.

S. , L. Beux, J. Trajkovic, I. O-'connor, G. Nicolescu et al., Multi-Optical Network on Chip for Large Scale MPSoC, IEEE Embedded Systems Letters, pp.77-80, 2010.
URL : https://hal.archives-ouvertes.fr/inria-00618593

I. Loi, F. Angiolini, and L. Benini, Supporting vertical links for 3D networks-on-chip: toward an automated design and analysis flow, Proceedings of the Second International Conference on Nano-Networks, pp.1-5, 2007.
DOI : 10.4108/ICST.NANONET2007.2033