I. O. Connor, Reduction Methods for Adapting Optical Network on Chip Topologies to Specific Routing Applications, Proceedings of DCIS, 2008.

S. L. Beux, Layout Guidelines for 3D Architectures including Optical Ring Network-on-Chip (ORNoC), 19th IFIP, 2011.
URL : https://hal.archives-ouvertes.fr/inria-00618605

A. Biberman, Photonic network-on-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors, ACM Journal on Emerging Technologies in Computing Systems, vol.7, issue.2, pp.1-725
DOI : 10.1145/1970406.1970409

L. Ramini, Contrasting Wavelength-Routed Optical NoC Topologies for Power-Efficient 3D-Stacked Multicore Processors Using Physical-Layer Analysis, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, 2013.
DOI : 10.7873/DATE.2013.323

R. Ho, The future of wires, Proceedings of the IEEE, pp.490-504, 2001.
DOI : 10.1109/5.920580

J. Howard, A 48-core IA-32 processor in 45 nm CMOS using on-die messagepassing and DVFS for performance and power scaling. Solid-State Circuits, IEEE Journal, vol.46, issue.1, pp.173-183, 2011.

C. Sciancalepore, Thermal, Modal, and Polarization Features of Double Photonic Crystal Vertical-Cavity Surface-Emitting Lasers, IEEE Photonics Journal, vol.4, issue.2, 2012.
DOI : 10.1109/JPHOT.2012.2189378

M. Amann and W. Hofmann, InP-Based Long-Wavelength VCSELs and VCSEL Arrays, IEEE Journal of Selected Topics in Quantum Electronics, vol.15, issue.3, 2009.
DOI : 10.1109/JSTQE.2009.2013182

S. S. Djordjevic, CMOS-compatible, athermal silicon ring modulators clad with titanium dioxide, Optics Express, vol.21, issue.12, 2013.
DOI : 10.1364/OE.21.013958

S. Manipatruni, Wide temperature range operation of micrometer-scale silicon electro-optic modulators, Optics Letters, vol.33, issue.19, 2008.
DOI : 10.1364/OL.33.002185

A. Biberman, Thermally Active 4x4 Non-Blocking Switch for Networkson-Chip, IEEE Lasers and Electro-Optics Society, pp.370-371, 2008.

K. Padmaraju, Thermal stabilization of a microring modulator using feedback control, Optics Express, vol.20, issue.27, pp.27999-28008, 2012.
DOI : 10.1364/OE.20.027999

Y. Ye, System-Level Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.21, issue.2, pp.292-305, 2013.
DOI : 10.1109/TVLSI.2012.2185524

T. Zhang, Thermal management of Manycore Systems with Silicon- Photonic Networks, Proc. Design, Automation and Test in Eutope (DATE) 2014

Y. Zhang, Power-Efficient Calibration and Reconfiguration for Optical Network-on-Chip, Journal of Optical Communications and Networking, vol.4, issue.12, 2012.
DOI : 10.1364/JOCN.4.000955

Z. Li, Reliability Modeling and Management of Nanophotonic On-Chip Networks, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.20, issue.1, pp.98-111, 2012.
DOI : 10.1109/TVLSI.2010.2089072

J. Ahn, Devices and architectures for photonic chip-scale integration, Applied Physics A, vol.23, issue.4, pp.989-997, 2009.
DOI : 10.1007/s00339-009-5109-2

A. Bianco, Optical Interconnection Networks based on Microring Resonators, IEEE International Conference on Communications, 2010.

J. Van-campenhout, Electrically pumped InP-based microdisk lasers integrated with a nanophotonic silicon-on-insulator waveguide circuit, Optics Express, vol.15, issue.11, pp.6744-6749, 2007.
DOI : 10.1364/OE.15.006744

L. Beux, Optical Crossbars on Chip, A Comparative Study based on Worst-Case Losses, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01243224

C. Chen, Sharing and placement of on-chip laser sources in silicon-photonic NoCs, 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2014.
DOI : 10.1109/NOCS.2014.7008766

A. Fourmigue, Efficient Transient Thermal Simulation of 3D ICs with Liquid-Cooling and Through Silicon Vias, 2014.

S. C. Chapra and R. P. Canale, Numerical Methods for Engineers, 2009.