A novel renaming scheme to exploit value temporal locality through physical register reuse and unification, Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture, pp.216-225, 1998. ,
DOI : 10.1109/MICRO.1998.742783
Software optimization manual, 2014. ,
NoSQ: Store-load communication without a store queue, Proceedings of the International Symposium on Microarchitecture, pp.285-296, 2006. ,
Memory bypassing: Not worth the effort, Proceedings of the 1st Workshop on Duplicating, Deconstructing, and Debunking, pp.71-80, 2002. ,
Memory renaming: Fast, early and accurate processing of memory communication, International Journal of Parallel Programming, vol.27, issue.5, pp.357-380, 1999. ,
DOI : 10.1023/A:1018734923512
Streamlining inter-operation memory communication via data dependence prediction, Proceedings of 30th Annual International Symposium on Microarchitecture, pp.235-245, 1997. ,
DOI : 10.1109/MICRO.1997.645814
Read-after-read memory dependence prediction, MICRO-32. Proceedings of the 32nd Annual ACM/IEEE International Symposium on Microarchitecture, pp.177-185, 1999. ,
DOI : 10.1109/MICRO.1999.809455
Increasing processor performance by implementing deeper pipelines, Proceedings of the International Symposium on Computer Architecture, pp.25-34, 2002. ,
A case for (partially) TAgged GEometric history length branch prediction, Journal of Instruction Level Parallelism, vol.8, pp.1-23, 2006. ,
Software optimization guide for amd family 10h and 12h processors, 2011. ,
Intel 64 and ia-32 architectures software developers manual, 2015. ,
Tracking mechanism coupled to retirement in reorder buffer for indicating sharing logical registers of physical register in record indexed by logical register, US Patent, vol.8, p.914617, 2014. ,
Load and store reuse using register file contents, Proceedings of the International Conference on Supercomputing, pp.289-302, 2001. ,
The microarchitecture of Intel, AMD and VIA CPUs/An optimization guide for assembly programmers and compiler makers, Table 16, 2014. ,
Understanding scheduling replay schemes, Proceedings of the International Symposium on High Performance Computer Architecture, p.198, 2004. ,
Memory dependence prediction using store sets, Proceedings of the Annual International Symposium on Computer Architecture, pp.142-153, 1998. ,
40-entry unified out-of-order scheduler and integer execution unit for the AMD Bulldozer x86_64 core, Solid-State Circuits Conference Digest of Technical Papers (ISSCC), pp.80-82, 2011. ,
Checkpoint processing and recovery: towards scalable large instruction window processors, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), pp.423-434, 2003. ,
DOI : 10.1109/MICRO.2003.1253246
Practical data value speculation for future high-end processors The Alpha 21264: a 500 MHz out-of-order execution microprocessor, Proceedings of the International Symposium on High-Performance Computer Architecture Proceedings of IEEE Compcon, pp.28-36, 1997. ,
The Mips R10000 superscalar microprocessor, IEEE Micro, vol.16, issue.2, pp.28-40, 1996. ,
DOI : 10.1109/40.491460
Physical register reference counting, IEEE Computer Architecture Letters, vol.7, issue.1, pp.9-12, 2008. ,
DOI : 10.1109/L-CA.2007.15
Flexible register management using reference counting, IEEE International Symposium on High-Performance Comp Architecture, pp.1-12, 2012. ,
DOI : 10.1109/HPCA.2012.6169033
URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.222.7150
RDA checkpoint optimization ,
The gem5 simulator, ACM SIGARCH Computer Architecture News, vol.39, issue.2, pp.1-7, 2011. ,
DOI : 10.1145/2024716.2024718
Architectural Simulators Considered Harmful, IEEE Micro, vol.35, issue.6, pp.1-1, 2015. ,
DOI : 10.1109/MM.2015.74
Picking statistically valid and early simulation points, Oceans 2002 Conference and Exhibition. Conference Proceedings (Cat. No.02CH37362), p.244, 2003. ,
DOI : 10.1109/PACT.2003.1238020
Three extensions to register integration, 35th Annual IEEE/ACM International Symposium on Microarchitecture, 2002. (MICRO-35). Proceedings., pp.37-47, 2002. ,
DOI : 10.1109/MICRO.2002.1176237
Energy-efficient load and store reuse, Proceedings of the 2001 international symposium on Low power electronics and design , ISLPED '01, pp.72-75, 2001. ,
DOI : 10.1145/383082.383097
Dynamic instruction reuse, Computer Architecture Conference Proceedings. The 24th Annual International Symposium on, pp.194-205, 1997. ,
Virtual-physical registers, Proceedings 1998 Fourth International Symposium on High-Performance Computer Architecture, pp.175-184, 1998. ,
DOI : 10.1109/HPCA.1998.650557
RENO: a rename-based instruction optimizer, 32nd International Symposium on Computer Architecture (ISCA'05), pp.98-109, 2005. ,
DOI : 10.1109/ISCA.2005.43
Continuous optimization, 32nd International Symposium on Computer Architecture (ISCA'05), pp.86-97, 2005. ,
DOI : 10.1109/ISCA.2005.19