P. Barham, B. Dragovic, K. Fraser, S. Hand, T. Harris et al., Xen and the art of virtualization, SOSP, pp.164-177, 2003.

J. Bin, S. Girbal, D. G. Perez, A. Grasset, and A. Merigot, Studying co-running avionic real-time applications, Embedded Real Time Software and Systems (ERTS), 2014.

J. Bin, S. Girbal, D. G. Perez, and A. Merigot, Using monitors to predict co-running safetycritical hard real-time benchmark behavior, International Conference on Information and Communication Technology for Embedded Systems (ICICTES), 2014.

F. Boniol, H. Cassé, E. Noulard, and C. Pagetti, Deterministic Execution Model on COTS Hardware, International Conference on Architecture of Computing Systems (ARCS), pp.98-110, 2012.
DOI : 10.1145/1347375.1347389

M. Caccamo, R. Pellizzoni, L. Sha, G. Yao, and H. Yun, Memguard: Memory bandwidth reservation system for efficient performance isolation in multi-core platforms, RTAS, pp.55-64, 2013.

C. Ficek, N. Feiertag, K. Richter, and M. Jersak, Applying the AUTOSAR timing protection to build safe and efficient ISO 26262 mixed-criticality systems, Embedded Real Time Software and Systems (ERTS), 2012.

M. R. Guthaus, J. S. Ringenberg, D. Ernst, T. M. Austin, T. Mudge et al., MiBench: A free, commercially representative embedded benchmark suite, Proceedings of the Fourth Annual IEEE International Workshop on Workload Characterization. WWC-4 (Cat. No.01EX538), pp.3-14, 2001.
DOI : 10.1109/WWC.2001.990739

H. Härtig, M. Hohmuth, J. Liedtke, S. Schönberg, and J. Wolter, The performance of µkernel-based systems, SOSP, pp.66-77, 1997.

X. Jean, M. Gatti, D. Faura, L. Pautet, and T. Robert, A software approach for managing shared resources in multicore ima systems, Digital Avionics Systems Conference (DASC) 2013 IEEE/AIAA 32nd, pp.7-8, 2013.

]. B. Lickly, I. Liu, S. Kim, H. D. Patel, S. A. Edwards et al., Predictable programming on a precision timed architecture, Proceedings of the 2008 international conference on Compilers, architectures and synthesis for embedded systems, CASES '08, pp.137-146, 2008.
DOI : 10.1145/1450095.1450117

L. Liu, Z. Cui, M. Xing, Y. Bao, M. Chen et al., A software memory partition approach for eliminating bank-level interference in multicore systems, Proceedings of the 21st international conference on Parallel architectures and compilation techniques, PACT '12, pp.367-376, 2012.
DOI : 10.1145/2370816.2370869

T. Moscibroda and O. Mutlu, Memory performance attacks: Denial of memory service in multi-core systems, 16th USENIX Security Symposium (SS), pp.1-1818, 2007.

S. P. Muralidhara, L. Subramanian, O. Mutlu, M. Kandemir, and T. Moscibroda, Reducing memory interference in multicore systems via application-aware memory channel partitioning, Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-44 '11, pp.374-385, 2011.
DOI : 10.1145/2155620.2155664

J. Nowotsch and M. Paulitsch, Leveraging Multi-core Computing Architectures in Avionics, 2012 Ninth European Dependable Computing Conference, pp.132-143, 2012.
DOI : 10.1109/EDCC.2012.27

R. Pellizzoni, E. Betti, S. Bak, G. Yao, J. Criswell et al., A Predictable Execution Model for COTS-Based Embedded Systems, 2011 17th IEEE Real-Time and Embedded Technology and Applications Symposium, pp.269-279, 2011.
DOI : 10.1109/RTAS.2011.33

R. Pellizzoni, A. Schranzhofer, J. Chen, M. Caccamo, and L. Thiele, Worst case delay analysis for memory interference in multicore systems, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.741-746, 2010.
DOI : 10.1109/DATE.2010.5456952

N. Rafique, W. Lim, and M. Thottethodi, Effective Management of DRAM Bandwidth in Multicore Processors, 16th International Conference on Parallel Architecture and Compilation Techniques (PACT 2007), pp.245-258, 2007.
DOI : 10.1109/PACT.2007.4336216

M. Schoeberl and P. P. Puschner, Is chip-multiprocessing the end of real-time scheduling, 9th International Workshop on Worst-Case Execution Time (WCET) Analysis, 2009.

D. Seo, H. Eom, and H. Y. Yeom, MLB: A memory-aware load balancing for mitigating memory contention, 2014 Conference on Timely Results in Operating Systems, p.2014

H. Shah, A. Raabe, and A. Knoll, Priority division: A high-speed shared-memory bus arbitration with bounded latency, 2011 Design, Automation & Test in Europe, pp.1-4, 2011.
DOI : 10.1109/DATE.2011.5763319

U. Steinberg and B. Kauer, NOVA, Proceedings of the 5th European conference on Computer systems, EuroSys '10, pp.209-222, 2010.
DOI : 10.1145/1755913.1755935

T. Ungerer, F. Cazorla, P. Sainrat, G. Bernat, Z. Petrov et al., Merasa: Multicore Execution of Hard Real-Time Applications Supporting Analyzability, IEEE Micro, vol.30, issue.5, pp.66-75, 2010.
DOI : 10.1109/MM.2010.78

H. Yun, G. Yao, R. Pellizzoni, M. Caccamo, and L. Sha, Memory Access Control in Multiprocessor for Real-Time Systems with Mixed Criticality, 2012 24th Euromicro Conference on Real-Time Systems, pp.299-308, 2012.
DOI : 10.1109/ECRTS.2012.32