A. Aggarwal, A. Bar-noy, D. Coppersmith, R. Ramaswami, B. Schieber et al., Efficient routing in optical networks, Journal of the ACM, vol.43, issue.6, pp.973-1001, 1994.
DOI : 10.1145/235809.235812

Z. Chen, H. Gu, Y. Chen, Y. Chen, and H. Zhang, Source-and Destination-based Wavelength Assignment in Optical Network-on-Chip: Design and Performance, Proceeding of the IEEE region, pp.2013-2014, 2013.

A. B. Abdallah, Multicore Systems-on-Chip: Practical Hardware/Software Design, Publisher: Atlantis, vol.3, pp.978-9491216916
DOI : 10.2991/978-94-91216-33-6

A. B. Ahmed and A. B. Abdallah, PHENIC: Towards Photonic 3D-Network-on-Chip Architecture for High-throughput Many-core Systems-on-Chip, Proceedings of the 14th International conference on Sciences and Techniques of Automatic control and computer engineering, pp.1-9, 2013.

A. B. Ahmed, Y. Okuyama, and A. B. Abdallah, Contention-Free Routing for Hybrid Photonic Mesh-Based Network-on-Chip Systems, 2015 IEEE 9th International Symposium on Embedded Multicore/Many-core Systems-on-Chip, 2015.
DOI : 10.1109/MCSoC.2015.24

A. B. Ahmed, M. Meyer, Y. Okuyama, and A. B. Abdallah, Hybrid Photonic NoC Based on Non-Blocking Photonic Switch and Light-Weight Electronic Router, 2015 IEEE International Conference on Systems, Man, and Cybernetics, 2015.
DOI : 10.1109/SMC.2015.23

S. M. Bhandarkar and H. R. Arabnia, The REFINE multiprocessor ??? theoretical properties and algorithms, Parallel Computing, vol.21, issue.11, pp.1783-1806, 1995.
DOI : 10.1016/0167-8191(95)00032-9

A. B. Ahmed, Y. Okuyama, and A. B. Abdallah, Non-blocking Electro-optic Networkon-Chip Router for High-throughput and Low-power Many-core Systems, Proceedings

. Preprint-copy, This journal article has been accepted for publication at the Journal of Supercomputing The final publication is available at: www.springer, pp.10-1007, 2015.

L. Zhang, X. Tan, M. Yang, J. Jiang, P. Liu et al., Circuit-switched on-chip photonic interconnection network, The 9th International Conference on Group IV Photonics (GFP), pp.282-284, 2012.
DOI : 10.1109/GROUP4.2012.6324160

C. A. Adi, H. Mtasutani, M. Koibuchi, H. Irie, T. Miyoshi et al., An Efficient Path Setup for a Photonic Network-on-Chip, 2010 First International Conference on Networking and Computing, pp.156-161, 2010.
DOI : 10.1109/IC-NC.2010.31

H. R. Arabnia and S. M. Bhandarkar, Parallel stereocorrelation on a reconfigurable multi-ring network, The Journal of Supercomputing, vol.26, issue.8, pp.243-270, 1996.
DOI : 10.1007/BF00130109

L. Benini and G. D. Micheli, Networks on chips: technology and tools, pp.978-0123705211, 2006.

K. Mori, A. Esch, A. B. Abdallah, and K. Kuroda, Advanced Design Issues for OASIS Network-on-Chip Architecture, 2010 International Conference on Broadband, Wireless Computing, Communication and Applications, pp.74-79, 2010.
DOI : 10.1109/BWCCA.2010.51

H. R. Arabnia and J. W. Smith, A Reconfigurable Interconnection Network For Imaging Operations And Its Implementation Using A Multi-Stage Switching Box The 1993 High Performance Computing: New Horizons Supercomputing Symposium, Proceedings of the 7th Annual International High Performance Computing Conference, pp.349-357, 1993.

. Preprint-copy, This journal article has been accepted for publication at the Journal of Supercomputing The final publication is available at: www.springer, pp.10-1007, 2015.

A. B. Abdallah and M. Sowa, Basic Network-on-Chip Interconnection for Future Gigascale MCSoCs Applications, Communication and Computation Orthogonalization, Proceeding of the Symposium on Science, Society, and Technology, pp.4-6, 2006.

D. Vantrease, Corona: System Implications of Emerging Nanophotonic Technology, 2008 International Symposium on Computer Architecture, pp.153-164, 2008.
DOI : 10.1109/ISCA.2008.35

D. Vantrease, N. L. Binkert, R. Schreiber, and M. H. Lipasti, Light speed arbitration and flow control for nanophotonic interconnects, Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture, Micro-42, pp.304-315, 2009.
DOI : 10.1145/1669112.1669152

H. R. Arabnia, A distributed stereocorrelation algorithm, Proceedings of Fourth International Conference on Computer Communications and Networks, IC3N'95, pp.479-482, 1995.
DOI : 10.1109/ICCCN.1995.540163

R. Beausoleil, A nanophotonic interconnect for high-performance manycore computation, Proceeding of the 16th IEEE Symposium in High Performance Interconnects, pp.182-189, 2008.

A. B. Ahmed, M. Meyer, Y. Okuyama, and A. B. Abdallah, Efficient Router Architecture, Design and Performance Exploration for Many-Core Hybrid Photonic Network-on-Chip (2D-PHENIC), 2015 2nd International Conference on Information Science and Control Engineering, pp.202-206, 2015.
DOI : 10.1109/ICISCE.2015.52

H. R. Arabnia and M. A. Hough, A Transputer Network for Fast Operations on Digitised Images, Computer Graphics Forum, vol.6, issue.1, pp.3-12, 1989.
DOI : 10.1111/j.1467-8659.1989.tb00448.x

. Preprint-copy, This journal article has been accepted for publication at the Journal of Supercomputing The final publication is available at: www.springer, pp.10-1007, 2015.

X. Zhang and A. Louri, A multilayer nanophotonic interconnection network for on-chip many-core communications, Proceedings of the 47th Design Automation Conference on, DAC '10, pp.156-161, 2010.
DOI : 10.1145/1837274.1837314

K. Kirman and J. Martinez, A Power-efficient All-optical On-chip Interconnect Using Wavelength-based Oblivious Routing, Proceeding of the 15th edition of ASPLOS on Architectural support for programming languages and operating systems, pp.15-28, 2010.

H. Gu, J. Xu, and W. Zhang, A low-power fat tree-based optical network on-chip for multiprocessor system-on-chip, Europe, pp.3-8, 2009.

S. M. Bhandarkar and H. R. Arabnia, The Hough Transform on a Reconfigurable Multi-Ring Network, Journal of Parallel and Distributed Computing, vol.24, issue.1, pp.107-114, 1995.
DOI : 10.1006/jpdc.1995.1011

S. Pasricha and N. Dutt, ORB: An on-chip optical ring bus communication architecture for multi-processor systems-on-chip, 2008 Asia and South Pacific Design Automation Conference, pp.789-794, 2008.
DOI : 10.1109/ASPDAC.2008.4484059

R. W. Morris, A. K. Kodi, A. Louri, and R. D. Whaley, Three-Dimensional Stacked Nanophotonic Network-on-Chip Architecture with Minimal Reconfiguration, IEEE Transactions on Computers, vol.63, issue.1, pp.243-255, 2014.
DOI : 10.1109/TC.2012.183

Y. Pan, P. Kumar, J. Kim, G. Memik, Y. Zhang et al., Firefly: Illuminating future network-on-chip with nanophotonics, International Symposium on Computer Architecture (ISCA), pp.429-440, 2009.

. Preprint-copy, This journal article has been accepted for publication at the Journal of Supercomputing The final publication is available at: www.springer, pp.10-1007, 2015.

Y. Pan, J. Kim, and G. Memik, FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar, HPCA, 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture, pp.1-12, 2010.
DOI : 10.1109/HPCA.2010.5416626

H. R. Arabnia, A parallel algorithm for the arbitrary rotation of digitized images using process-and-data-decomposition approach, Journal of Parallel and Distributed Computing, vol.10, issue.2, pp.188-193, 1990.
DOI : 10.1016/0743-7315(90)90028-N

H. R. Arabnia and M. A. Oliver, Arbitrary Rotation of Raster Images with SIMD Machine Architectures, Computer Graphics Forum, vol.1, issue.1, pp.3-12, 1987.
DOI : 10.1111/j.1467-8659.1987.tb00340.x

G. Hendry, E. Robinson, V. Gleyzer, J. Chan, L. Carloni et al., Circuitswitched memory access in photonic interconnection networks for high-performance embedded computing, Proceeding of the International Conference for High Performance Computing, Networking, Storage and Analysis (SC), p.112, 2010.

J. Chan, G. Hendry, K. Bergman, and L. Carloni, Physical-Layer Modeling and System-Level Design of Chip-Scale Photonic Interconnection Networks, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.30, issue.10
DOI : 10.1109/TCAD.2011.2157157

J. Chan and K. Bergman, Photonic Interconnection Network Architectures Using Wavelength-Selective Spatial Routing for Chip-Scale Communications, Journal of Optical Communications and Networking, vol.4, issue.3, pp.189-201, 2012.
DOI : 10.1364/JOCN.4.000189

A. Shacham, K. Bergman, and L. Carloni, On the Design of a Photonic Network-on-Chip, First International Symposium on Networks-on-Chip (NOCS'07), pp.53-64, 2007.
DOI : 10.1109/NOCS.2007.35

. Preprint-copy, This journal article has been accepted for publication at the Journal of Supercomputing The final publication is available at: www.springer, pp.10-1007, 2015.

H. Matsutani, M. Koibuchi, H. Amano, and T. Yoshinaga, Prediction router: Yet another low latency on-chip router architecture, 2009 IEEE 15th International Symposium on High Performance Computer Architecture, pp.367-378, 2009.
DOI : 10.1109/HPCA.2009.4798274

. Wang, 3-D mesh-based optical network-on-chip for multiprocessor system-on-chip, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.32, issue.4, pp.584-596, 2013.

J. Wang, CPNoC: An Energy-Efficient Photonic Network-on-Chip, Proceeding of the 27th International Conference on Advanced Information Networking and Applications Workshops (WAINA), pp.1571-1576, 2013.

X. Tan, M. Yang, L. Zhang, X. Wang, and Y. Jiang, A Hybrid Optoelectronic Networks-on-Chip Architecture, Journal of Lightwave Technology, vol.32, issue.5, pp.991-998, 2014.
DOI : 10.1109/JLT.2013.2296145

G. Hendry, Time-division-multiplexed arbitration in silicon nanophotonic networks-on-chip for high-performance chip multiprocessors, Journal of Parallel and Distributed Computing, vol.71, issue.5, pp.641-650, 2011.
DOI : 10.1016/j.jpdc.2010.09.009

G. Hendry, Silicon Nanophotonic Network-on-Chip Using TDM Arbitration, 2010 18th IEEE Symposium on High Performance Interconnects, pp.88-95, 2010.
DOI : 10.1109/HOTI.2010.12

A. Shacham, Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors, IEEE Transactions on Computers, vol.57, issue.9, pp.1246-1260, 2008.
DOI : 10.1109/TC.2008.78

M. , A. Wani, and H. R. Arabnia, Parallel Edge-Region-Based Segmentation Algorithm Targeted at Reconfigurable Multi-Ring Network, The Journal of Supercomputing, vol.25, issue.1, pp.43-63, 2003.

. Preprint-copy, This journal article has been accepted for publication at the Journal of Supercomputing The final publication is available at: www.springer, pp.10-1007, 2015.

J. Chan, G. Hendry, A. Biberman, K. Bergman, and L. Carloni, PhoenixSim: A simulator for physical-layer analysis of chip-scale photonic interconnection networks, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010), pp.691-696, 2010.
DOI : 10.1109/DATE.2010.5457114

S. M. Bhandarkar, H. R. Arabnia, and J. W. Smith, A RECONFIGURABLE ARCHITECTURE FOR IMAGE PROCESSING AND COMPUTER VISION, International Journal of Pattern Recognition and Artificial Intelligence, vol.09, issue.02, pp.201-229, 1995.
DOI : 10.1142/S0218001495000110

A. , B. Ahmed, and A. B. Abdallah, Graceful Deadlock-Free Fault-Tolerant Routing Algorithm for 3D Network-on-Chip Architectures, Journal of Parallel and Distributed Computing, vol.744, pp.2229-2240, 2014.

A. , B. Ahmed, and A. B. Abdallah, Architecture and Design of High-throughput, Lowlatency , and Fault-Tolerant Routing Algorithm for 3D-Network-on-Chip (3D-NoC), The Journal of Supercomputing, vol.663, pp.1507-1532, 2013.

M. Petracca, B. Lee, K. Bergman, and L. Carloni, Design Exploration of Optical Interconnection Networks for Chip Multiprocessors, 2008 16th IEEE Symposium on High Performance Interconnects, pp.31-40, 2008.
DOI : 10.1109/HOTI.2008.20

H. R. Arabnia and M. A. Oliver, A Transputer Network for the Arbitrary Rotation of Digitised Images, The Computer Journal, vol.30, issue.5, pp.425-433, 1987.
DOI : 10.1093/comjnl/30.5.425

H. Wang, On the Design of a 4x4 Nonblocking Nanophotonic Switch for Photonic Networks on Chip, Proceeding of Frontiers in Nanophotonics and Plasmonics, 2007.

. Preprint-copy, This journal article has been accepted for publication at the Journal of Supercomputing The final publication is available at: www.springer, pp.10-1007, 2015.

C. Sun, DSENT - A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, pp.201-210
DOI : 10.1109/NOCS.2012.31

L. Brusberg, Single-mode glass waveguide platform for DWDM chip-to-chip interconnects, 2012 IEEE 62nd Electronic Components and Technology Conference, pp.1532-1539, 2012.
DOI : 10.1109/ECTC.2012.6249039

A. Kahng, B. Li, L. Peh, and K. Samadi, 0: A power-area simulator for interconnection networks, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.191-196, 2012.

Y. Ye, System-level analysis of mesh-based hybrid optical-electronic network-onchip, IEEE International Symposium on Circuits and Systems, pp.321-324, 2013.

K. Preston and K. , Performance Guidelines for WDM Interconnects Based on Silicon Microring Resonators, CLEO:2011, Laser Applications to Photonic Applications, pp.1-2, 2011.
DOI : 10.1364/CLEO_SI.2011.CThP4