G. Radio, The free and open software radio ecosystem. www.gnuradio.org

E. Casseau and B. L. Gal, Design of multi-mode application-specific cores based on high-level synthesis, Integration, the VLSI Journal, vol.45, issue.1, pp.9-21, 2012.
DOI : 10.1016/j.vlsi.2011.07.003

URL : https://hal.archives-ouvertes.fr/hal-00746813

J. Chen, J. Hu, S. Lee, and G. E. Sobelman, Hardware Efficient Mixed Radix-25/16/9 FFT for LTE Systems. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.23, issue.2, pp.221-229, 2015.

W. James, J. W. Cooley, and . Tukey, Mathematics of Computation, chapter An algorithm for the machine calculation of complex Fourier series, pp.297-301, 1965.

M. Cummings and S. Haruyama, FPGA in the software radio, IEEE Communications Magazine, vol.37, issue.2, pp.108-112, 1999.
DOI : 10.1109/35.747258

M. Gautier, G. S. Ouedraogo, and O. Sentieys, Design Space Exploration in an FPGA-Based Software Defined Radio, 2014 17th Euromicro Conference on Digital System Design, pp.22-27, 2014.
DOI : 10.1109/DSD.2014.44

URL : https://hal.archives-ouvertes.fr/hal-01084781

F. Semiconductor and I. , Software Optimization of DFTs and IDFTs Using the StarCore SC3850 DSP Core. Application Note AN3980, 2009.

G. Jianxin, Y. Xiaohui, G. Jun, and L. Quan, The Software Communication Architecture specification: Evolution and trends, 2009 Asia-Pacific Conference on Computational Intelligence and Industrial Applications (PACIIA), 2009.
DOI : 10.1109/PACIIA.2009.5406588

Y. , L. Moullec, J. Diguet, N. Ben-amor, T. Gourdeaux et al., Algorithmic-level specification and characterization of embedded multimedia applications with design trotter Journal of VLSI signal processing systems for signal, image and video technology, pp.185-208, 2006.

E. Lemoine and D. Merceron, Run time reconfiguration of FPGA for scanning genomic databases, Proceedings IEEE Symposium on FPGAs for Custom Computing Machines, pp.90-98, 1995.
DOI : 10.1109/FPGA.1995.477414

Y. Lin, R. Mullenix, M. Woh, S. Mahlke, T. Mudge et al., SPEX: A Programming Language for Software Defined Radio, Software Defined Radio Technical Conference and Product Exposition (SDR-Forum), 2006.

G. Ouedraogo, M. Gautier, and O. Sentieys, A frame-based domain-specific language for rapid prototyping of FPGA-based software-defined radios, EURASIP Journal on Advances in Signal Processing, vol.2014, issue.1, p.2014164, 2014.
DOI : 10.1109/JPROC.2002.805829

URL : https://hal.archives-ouvertes.fr/hal-01084788

G. S. Ouedraogo, M. Gautier, and O. Sentieys, Frame-based Modeling for Automatic Synthesis of FPGA-Software Defined Radio, Proceedings of the 9th International Conference on Cognitive Radio Oriented Wireless Networks, 2014.
DOI : 10.4108/icst.crowncom.2014.255289

URL : https://hal.archives-ouvertes.fr/hal-01070549

T. Pitkanen and J. Takala, Low-power application-specific processor for FFT computations, IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP), pp.593-596, 2009.

B. So, M. W. Hall, and P. C. Diniz, A compiler approach to fast hardware design space exploration in FPGA-based systems, Proceedings of the ACM SIGPLAN Conference on Programming language design and implementation (PLDI), pp.165-176, 2002.

E. D. Willink, The waveform description language: moving from implementation to specification, 2001 MILCOM Proceedings Communications for Network-Centric Operations: Creating the Information Force (Cat. No.01CH37277), 2001.
DOI : 10.1109/MILCOM.2001.985791

C. Yang, T. Yu, and D. Markovic, Power and Area Minimization of Reconfigurable FFT Processors: A 3GPP-LTE Example. Solid-State Circuits, IEEE Journal, vol.47, issue.3, pp.757-768, 2012.