C. Sun, M. T. Wade, Y. Lee, J. S. Orcutt, L. Alloatti et al., Single-chip microprocessor that communicates directly using light, Nature, vol.17, issue.4, pp.534-538, 2015.
DOI : 10.1038/nature16454

D. Vantrease, R. Schreiber, M. Monchiero, M. Mclaren, N. P. Jouppi et al., Corona, Proc. 35th Ann. Int. Symp. Computer Architecture (ISCA'08), 2008.
DOI : 10.1145/1394608.1382135

G. Roelkens, L. Liu, D. Liang, R. Jones, A. Fang et al., III-V/silicon photonics for on-chip and intra-chip optical interconnects, Laser & Photonics Reviews, vol.3, issue.6, pp.751-779, 2010.
DOI : 10.1002/lpor.200900033

C. Sciancalepore, B. B. Bakir, X. Letartre, J. Harduin, N. Olivier et al., CMOS-Compatible Ultra-Compact 1.55- μ m Emitting VCSELs Using Double Photonic Crystal Mirrors, IEEE Photonics Technology Letters, vol.24, issue.6, pp.455-457, 2012.
DOI : 10.1109/LPT.2011.2180711

C. Sciancalepore, B. B. Bakir, X. Letartre, N. Olivier, D. Bordel et al., CMOS-compatible integration of III–V VCSELs based on double photonic crystal reflectors, 8th IEEE International Conference on Group IV Photonics, pp.205-207, 2011.
DOI : 10.1109/GROUP4.2011.6053764

S. S. Djordjevic, K. Shang, B. Guan, S. T. Cheung, L. Liao et al., CMOS-compatible, athermal silicon ring modulators clad with titanium dioxide, Optics Express, vol.21, issue.12, 2013.
DOI : 10.1364/OE.21.013958

M. Mohamed, Z. Li, X. Chen, L. Shang, and A. R. Mickelson, Reliability-Aware Design Flow for Silicon Photonics On-Chip Interconnect, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.22, issue.8, 2014.
DOI : 10.1109/TVLSI.2013.2278383

S. Manipatruni, R. K. Dokania, B. Schmidt, N. Sherwood-droz, C. B. Poitras et al., Wide temperature range operation of micrometer-scale silicon electro-optic modulators, Optics Letters, vol.33, issue.19, pp.2185-2187, 2008.
DOI : 10.1364/OL.33.002185

A. Biberman, N. Sherwood-droz, B. G. Lee, M. Lipson, and K. Bergman, Thermally active 4×4 non-blocking switch for networks-on-chip, LEOS 2008, 21st Annual Meeting of the IEEE Lasers and Electro-Optics Society, 2008.
DOI : 10.1109/LEOS.2008.4688644

C. Sun, C. Chen, G. Kurian, L. Wei, J. Miller et al., DSENT - A Tool Connecting Emerging Photonics with Electronics for Opto-Electronic Networks-on-Chip Modeling, 2012 IEEE/ACM Sixth International Symposium on Networks-on-Chip, 2012.
DOI : 10.1109/NOCS.2012.31

C. Condrat, P. Kalla, and S. Blair, Thermal-aware synthesis of integrated photonic ring resonators, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2014.
DOI : 10.1109/ICCAD.2014.7001405

K. Padmaraju, J. Chan, L. Chen, M. Lipson, and K. Bergman, Thermal stabilization of a microring modulator using feedback control, Optics Express, vol.20, issue.27, pp.27999-28008, 2012.
DOI : 10.1364/OE.20.027999

Y. Ye, J. Xu, X. Wu, W. Zhang, X. Wang et al., System-Level Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.21, issue.2, pp.292-305, 2013.
DOI : 10.1109/TVLSI.2012.2185524

Y. Ye, Z. Wang, J. Xu, X. Wu, X. Wang et al., System-Level Modeling and Analysis of Thermal Effects in WDM-Based Optical Networks-on-Chip, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.33, issue.11, pp.1718-1731, 2014.
DOI : 10.1109/TCAD.2014.2351584

M. Georgas, J. Leu, B. Moss, C. Sun, and V. Stojanovic, Addressing link-level design tradeoffs for integrated photonic interconnects, 2011 IEEE Custom Integrated Circuits Conference (CICC), 2011.
DOI : 10.1109/CICC.2011.6055363

H. Li, A. Fourmigue, S. L. Beux, X. Letartre, I. O. Connor et al., Thermal Aware Design Method for VCSELbased On-Chip Optical Interconnect, Proc. Design, Automation & Test in Europe Conference & Exhibition (DATE'15), 2015.
URL : https://hal.archives-ouvertes.fr/hal-01243263

Z. Li, M. Mohamed, X. Chen, E. Dudley, K. Meng et al., Reliability Modeling and Management of Nanophotonic On-Chip Networks, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.20, issue.1, pp.98-111, 2012.
DOI : 10.1109/TVLSI.2010.2089072

T. Zhang, J. L. Abellán, A. Joshi, and A. K. Coskun, Thermal management of Manycore Systems with Silicon-Photonic Networks, Proc. Design, Automation & Test in Europe Conference & Exhibition (DATE'14), 2014.

C. Chen, T. Zhang, P. Contu, J. Klamkin, A. K. Coskun et al., Sharing and placement of on-chip laser sources in silicon-photonic NoCs, 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS), 2014.
DOI : 10.1109/NOCS.2014.7008766

M. Mohamed, Z. Li, X. Chen, L. Shang, A. Mickelson et al., Power-efficient variation-aware photonic on-chip network management, Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design, ISLPED '10, 2010.
DOI : 10.1145/1840845.1840853

F. Mandorlo, P. R. Romeo, N. Olivier, L. Ferrier, R. Orobtchouk et al., Controlled Multi-Wavelength Emission in Full CMOS Compatible Micro-Lasers for on Chip Interconnections, Journal of Lightwave Technology, vol.30, issue.19, pp.3073-3080, 2012.
DOI : 10.1109/JLT.2012.2209863

C. Nitta, M. Farrens, and V. Akella, Addressing system-level trimming issues in on-chip nanophotonic networks, 2011 IEEE 17th International Symposium on High Performance Computer Architecture, 2011.
DOI : 10.1109/HPCA.2011.5749722

Y. Zhang, P. Lisherness, M. Gao, J. T. Bovington, K. T. Cheng et al., Power-Efficient Calibration and Reconfiguration for Optical Network-on-Chip, Journal of Optical Communications and Networking, vol.4, issue.12, pp.955-966, 2012.
DOI : 10.1364/JOCN.4.000955

R. Wu, C. Chen, C. Li, T. Huang, F. Lan et al., Variation-aware adaptive tuning for nanophotonic interconnects, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), pp.487-493, 2015.
DOI : 10.1109/ICCAD.2015.7372609

L. Vivien, A. Polzer, D. M. Morini, J. Osmond, J. M. Hartmann et al., Zero-bias 40Gbit/s germanium waveguide photodetector on silicon, Optics Express, vol.20, issue.2, pp.1096-1101, 2012.
DOI : 10.1364/OE.20.001096

C. Sciancalepore, B. B. Bakir, C. Seassal, X. Letartre, J. Harduin et al., Thermal, Modal, and Polarization Features of Double Photonic Crystal Vertical-Cavity Surface-Emitting Lasers, IEEE Photonics Journal, vol.4, issue.2, pp.399-410, 2012.
DOI : 10.1109/JPHOT.2012.2189378

K. Ohira, K. Kobayashi, N. Iizuka, H. Yoshida, M. Ezaki et al., On-chip optical interconnection by using integrated III-V laser diode and photodetector with silicon waveguide, Optics Express, vol.18, issue.15, pp.15440-15447, 2010.
DOI : 10.1364/OE.18.015440

H. Li, S. L. Beux, Y. Thonnart, and I. O. Connor, Complementary communication path for energy efficient on-chip optical interconnects, Proceedings of the 52nd Annual Design Automation Conference on, DAC '15, 2015.
DOI : 10.1145/2744769.2744810

URL : https://hal.archives-ouvertes.fr/hal-01243276

H. Shen, M. H. Khan, L. Fan, L. Zhao, Y. Xuan et al., Eight-channel reconfigurable microring filters with tunable frequency, extinction ratio and bandwidth, Optics Express, vol.18, issue.17, 2010.
DOI : 10.1364/OE.18.018067

F. Gan, T. Barwicz, M. A. Popovi?, M. S. Dahlem, C. W. Holzwarth et al., Maximizing the Thermo-Optic Tuning Range of Silicon Photonic Structures, 2007 Photonics in Switching, 2007.
DOI : 10.1109/PS.2007.4300747

Y. Li and A. W. Poon, Active resonance wavelength stabilization for silicon microring resonators with an in- resonator defect-state-absorption-based photodetector, OPTICS EXPRESS, vol.23, issue.1, 2015.

A. Fourmigue, G. Beltrame, and G. Nicolescu, Efficient Transient Thermal Simulation of 3D ICs with Liquid-Cooling and Through Silicon Vias, Proc. Design, Automation & Test in Europe Conference & Exhibition (DATE'14), 2014.

S. C. Chapra and R. P. Canale, Numerical Methods for Engineers, 2009.

Y. Xu, J. Yang, and R. Melhem, Tolerating Process Variations in Nanophotonic On-chip Networks, Proc. 39th Ann. Int. Symp. Computer Architecture (ISCA '12), 2012.

W. D. Sacher, Y. Huang, L. Ding, B. J. Taylor, H. Jayatilleka et al., Wide bandwidth and high coupling efficiency Si3N4-on-SOI dual-level grating coupler, OPTICS LETTERS, vol.22, issue.9, pp.10938-10947, 2014.

M. Petracca, B. G. Lee, K. Bergman, and L. P. Carloni, Design Exploration of Optical Interconnection Networks for Chip Multiprocessors, 2008 16th IEEE Symposium on High Performance Interconnects, 2008.
DOI : 10.1109/HOTI.2008.20

Z. Li, D. Fay, A. Mickelson, L. Shang, M. Vachharajani et al., Spectrum, Proceedings of the 46th Annual Design Automation Conference on ZZZ, DAC '09, 2009.
DOI : 10.1145/1629911.1630060

URL : https://hal.archives-ouvertes.fr/hal-01214888

Y. Xie, M. Nikdast, J. Xu, W. Zhang, Q. Li et al., Crosstalk noise and bit error rate analysis for optical network-on-chip, Proceedings of the 47th Design Automation Conference on, DAC '10, 2010.
DOI : 10.1145/1837274.1837441

R. Ji, L. Yang, L. Zhang, Y. Tian, J. Ding et al., Five-port optical router for photonic networks-on-chip, Optics Express, vol.19, issue.21, pp.20258-20268, 2011.
DOI : 10.1364/OE.19.020258

H. Li-received-the, B. S. , and M. S. , China in 2010 and 2013, respectively. Since 2013, she has been pursuing her Ph.D. degree in the Heterogeneous System Design group at Ecole Centrale de Lyon, France. Her research interests include silicon photonics, optical interconnect