J. A. Fisher, P. Faraboschi, and C. Young, Embedded computing: a VLIW approach to architecture , compilers and tools, 2004.

C. Bolchini, A software methodology for detecting hardware faults in vliw data paths, IEEE Transactions on Reliability, vol.52, issue.4, pp.458-468, 2003.
DOI : 10.1109/TR.2003.821935

D. Sabena, M. Sonza-reorda, and L. Sterpone, On the optimized generation of software-based self-test programs for VLIW processors, IFIP/IEEE 20th Int. Conf. Very Large Integr. Syst. Chip, pp.129-134, 2012.

M. Psarakis, D. Gizopoulos, E. Sanchez, and M. S. Reorda, Microprocessor softwarebased self-testing, IEEE Design & Test of Computers, vol.2, issue.3, pp.4-19, 2010.

P. S. Kabiri and Z. Navabi, Effective RT-level software-based self-testing of embedded processor cores, IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), 2012, pp.209-212

D. Sabena, M. Sonza-reorda, and L. Sterpone, On the Automatic Generation of Optimized Software-Based Self-Test Programs for VLIW Processors, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.813-823, 2014.
DOI : 10.1109/TVLSI.2013.2252636

T. Koal and H. T. Vierhaus, A software-based self-test and hardware reconfiguration solution for VLIW processors, 13th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, pp.40-43, 2010.
DOI : 10.1109/DDECS.2010.5491821

P. Bernardi, E. Sanchez, M. Schillaci, G. Squillero, and M. S. Reorda, An Effective Technique for the Automatic Generation of Diagnosis-Oriented Programs for Processor Cores, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.27, issue.3, pp.570-574, 2008.
DOI : 10.1109/TCAD.2008.915541

M. Scholzel, T. Koal, and H. T. Vierhaus, An adaptive self-test routine for in-field diagnosis of permanent faults in simple RISC cores, 2012 IEEE 15th International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS), pp.312-317
DOI : 10.1109/DDECS.2012.6219080

D. Sabena, M. Sonza-reorda, and L. Sterpone, On the development of diagnostic test programs for VLIW processors, 2013 IFIP/IEEE 21st International Conference on Very Large Scale Integration (VLSI-SoC), pp.84-89, 2013.
DOI : 10.1109/VLSI-SoC.2013.6673255

S. Wong, T. Van-as, and G. Brown, ?-VEX: a reconfigurable and extensible softcore VLIW processor, International Conference on ICECE Technology, pp.369-372, 2010.

D. Sabena, L. Sterpone, M. Schölzel, T. Koal, H. T. Vierhaus et al., Reconfigurable high performance architectures: How much are they ready for safety-critical applications?, 2014 19th IEEE European Test Symposium (ETS), pp.175-182, 2014.
DOI : 10.1109/ETS.2014.6847820

J. Abramson and P. C. Diniz, Resiliency-aware Scheduling for reconfigurable VLIW processors, 2012 International Conference on Reconfigurable Computing and FPGAs, pp.1-7
DOI : 10.1109/ReConFig.2012.6416784

S. Holst and H. Wunderlich, Adaptive debug and diagnosis without fault dictionaries, IEEE European Test Symp, pp.7-12, 2007.

P. G. Ryan, Fault dictionary compression and equivalence class computation for sequential circuits, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD), pp.508-511, 1993.
DOI : 10.1109/ICCAD.1993.580105