R. Al-dujaily, T. Mak, K. P. Lam, F. Xia, A. Yakovlev et al., Dynamic On-Chip Thermal Optimization for Three-Dimensional Networks-On-Chip, The Computer Journal, vol.56, issue.6, pp.756-770, 2013.
DOI : 10.1093/comjnl/bxs135

D. U. Becker, Efficient microarchitecture for network-on-chip routers, 2012.

S. Bell, B. Edwards, and J. Amann, Processor: A 64-Core SoC with Mesh Interconnect, 2008 IEEE International Solid-State Circuits Conference, Digest of Technical Papers, pp.88-598, 2008.
DOI : 10.1109/ISSCC.2008.4523070/mm1

R. Bellman, Dynamic Programming, 1957.

K. Bhardwaj, K. Chakraborty, and S. Roy, An MILP-based aging-aware routing algorithm for NoCs, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.326-331, 2012.
DOI : 10.1109/DATE.2012.6176489

K. Bhardwaj, K. Chakraborty, and S. Roy, Towards graceful aging degradation in NoCs through an adaptive routing algorithm, Proceedings of the 49th Annual Design Automation Conference on, DAC '12, pp.382-391, 2012.
DOI : 10.1145/2228360.2228429

C. Bienia, S. Kumar, J. P. Singh, and K. Li, The PARSEC benchmark suite, Proceedings of the 17th international conference on Parallel architectures and compilation techniques, PACT '08, pp.72-81, 2008.
DOI : 10.1145/1454115.1454128

K. Chang, R. Ausavarungnirun, C. Fallin, and O. Mutlu, HAT: Heterogeneous Adaptive Throttling for On-Chip Networks, 2012 IEEE 24th International Symposium on Computer Architecture and High Performance Computing, pp.9-18, 2012.
DOI : 10.1109/SBAC-PAD.2012.44

G. M. Chiu, The odd-even turn model for adaptive routing, IEEE Transactions on Parallel and Distributed Systems, vol.11, issue.7, pp.729-738, 2000.
DOI : 10.1109/71.877831

W. J. Dally and C. L. Seitz, Deadlock-Free Message Routing in Multiprocessor Interconnection Networks, IEEE Transactions on Computers, vol.36, issue.5, pp.547-553, 1987.
DOI : 10.1109/TC.1987.1676939

A. Das, A. Kumar, and B. Veeravalli, Reliability-Driven Task Mapping for Lifetime Extension of Networks-on-Chip Based Multiprocessor Systems, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, pp.689-694, 2013.
DOI : 10.7873/DATE.2013.149

V. Hanumaiah and S. Vrudhula, Temperature-Aware DVFS for Hard Real-Time Applications on Multicore Processors, IEEE Transactions on Computers, vol.61, issue.10, pp.1484-1494, 2012.
DOI : 10.1109/TC.2011.156

A. S. Hartman and D. E. Thomas, Lifetime improvement through runtime wear-based task mapping, Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, CODES+ISSS '12, pp.13-22, 2012.
DOI : 10.1145/2380445.2380455

W. Huang, S. Ghosh, S. Velusamy, K. Sankaranarayanan, K. Skadron et al., HotSpot: a compact thermal modeling methodology for early-stage VLSI design, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.501-513, 2006.
DOI : 10.1109/TVLSI.2006.876103

A. Kahng, B. Li, L. S. Peh, and K. Samadi, ORION 2.0: A Power-Area Simulator for Interconnection Networks, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.191-196, 2012.
DOI : 10.1109/TVLSI.2010.2091686

H. Kim, A. Vitkovskiy, P. V. Gratz, and V. Soteriou, Use it or lose it, Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-46, pp.136-147, 2013.
DOI : 10.1145/2540708.2540721

T. H. Kim, R. Persaud, and C. Kim, Silicon odometer: An on-chip reliability monitor for measuring frequency degradation of digital circuits, Proceedings of IEEE Symposium on VLSI Circuits, pp.122-123, 2007.

Z. Lu, W. Huang, M. Stan, K. Skadron, and J. Lach, Interconnect Lifetime Prediction for Reliability-Aware Systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.15, issue.2, pp.159-172, 2007.
DOI : 10.1109/TVLSI.2007.893578

T. Mak, P. Cheung, K. P. Lam, and W. Luk, Adaptive Routing in Network-on-Chips Using a Dynamic-Programming Network, IEEE Transactions on Industrial Electronics, vol.58, issue.8, pp.3701-3716, 2011.
DOI : 10.1109/TIE.2010.2081953

T. Mak, P. Y. Cheung, W. Luk, and K. P. Lam, A DP-network for optimal dynamic routing in network-on-chip, Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, CODES+ISSS '09, pp.119-128, 2009.
DOI : 10.1145/1629435.1629452

P. Mercati, A. Bartolini, F. Paterna, T. S. Rosing, and L. Benini, Workload and user experience-aware dynamic reliability management in multicore processors, Proceedings of the 50th Annual Design Automation Conference on, DAC '13, pp.1-6, 2013.
DOI : 10.1145/2463209.2488735

F. Mulas, D. Atienza, A. Acquaviva, S. Carta, L. Benini et al., Thermal Balancing Policy for Multiprocessor Stream Computing Platforms, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.28, issue.12, pp.1870-1882, 2009.
DOI : 10.1109/TCAD.2009.2032372

P. Ramachandran, S. Adve, P. Bose, and J. Rivers, Metrics for Architecture-Level Lifetime Reliability Analysis, ISPASS 2008, IEEE International Symposium on Performance Analysis of Systems and software, pp.202-212, 2008.
DOI : 10.1109/ISPASS.2008.4510752

B. Shi, Y. Zhang, and A. Srivastava, Dynamic Thermal Management Under Soft Thermal Constraints, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.2045-2054, 2013.
DOI : 10.1109/TVLSI.2012.2227854

J. Srinivasan, S. V. Adve, P. Bose, and J. A. Rivers, The case for lifetime reliabilityaware microprocessors, Proceedings of the 31st Annual International Symposium on Computer Architecture (ISCA), pp.276-285, 2004.

J. Srinivasan, S. V. Adve, P. Bose, and J. A. Rivers, The impact of technology scaling on lifetime reliability, International Conference on Dependable Systems and Networks, 2004, pp.177-186, 2004.
DOI : 10.1109/DSN.2004.1311888

L. Wang, X. Wang, and T. Mak, Dynamic programming-based lifetime aware adaptive routing algorithm for Network-on-Chip, 2014 22nd International Conference on Very Large Scale Integration (VLSI-SoC), pp.1-6, 2014.
DOI : 10.1109/VLSI-SoC.2014.7004156

X. Wang, Z. Li, M. Yang, Y. Jiang, M. Daneshtalab et al., A low cost, high performance dynamic-programming-based adaptive power allocation scheme for many-core architectures in the dark silicon era, The 11th IEEE Symposium on Embedded Systems for Real-time Multimedia, pp.61-67, 2013.
DOI : 10.1109/ESTIMedia.2013.6704504

X. Wang, T. Mak, M. Yang, Y. Jiang, M. Daneshtalab et al., On selftuning networks-on-chip for dynamic network-flow dominance adaptation, Proceedings of 2013 Seventh IEEE/ACM International Symposium on Networks on Chip (NoCS), pp.1-8, 2013.

X. Wang, T. Wang, T. Mak, M. Yang, Y. Jiang et al., Fine-grained runtime power budgeting for networks-on-chip, The 20th Asia and South Pacific Design Automation Conference, pp.160-165, 2015.
DOI : 10.1109/ASPDAC.2015.7058998

S. Woo, M. Ohara, E. Torrie, J. Singh, and A. Gupta, The splash-2 programs: characterization and methodological considerations, Proceedings of the 22nd Annual International Symposium on Computer Architecture (ISCA), pp.24-36, 1995.

C. Zhu, Z. Gu, R. Dick, and L. Shang, Reliable multiprocessor system-on-chip synthesis, Proceedings of the 5th IEEE/ACM international conference on Hardware/software codesign and system synthesis , CODES+ISSS '07, pp.239-244, 2007.
DOI : 10.1145/1289816.1289874

C. Zhuo, D. Sylvester, and D. Blaauw, Process variation and temperature-aware reliability management, Proceedings of Design, Automation Test in Europe Conference Exhibition (DATE), pp.580-585, 2010.

W. Zong, X. Wang, and T. Mak, On multicast for dynamic and irregular on-chip networks using dynamic programming method, Proceedings of the Sixth International Workshop on Network on Chip Architectures, NoCArc '13, pp.17-22, 2013.
DOI : 10.1145/2536522.2536529