J. Ahn, S. Yoo, and K. Choi, Write intensity prediction for energy-efficient nonvolatile caches, Proceedings of the 2013 International Symposium on Low Power Electronics and Design. pp. 223?228. ISLPED '13, 2013.

D. Apalkov, A. Khvalkovskiy, S. Watts, V. Nikitin, X. Tang et al., Spin-transfer torque magnetic random access memory (STT-MRAM), ACM Journal on Emerging Technologies in Computing Systems, vol.9, issue.2, pp.1-1335, 2013.
DOI : 10.1145/2463585.2463589

Y. T. Chen, J. Cong, H. Huang, C. Liu, R. Prabhakar et al., Static and dynamic co-optimizations for blocks mapping in hybrid caches, Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, ISLPED '12, pp.237-24212, 2012.
DOI : 10.1145/2333660.2333717

X. Dong, C. Xu, Y. Xie, and N. Jouppi, Nvsim: A circuit-level performance, energy , and area model for emerging nonvolatile memory. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, issue.7, pp.31-994, 2012.

J. L. Henning, SPEC CPU2006 benchmark descriptions, ACM SIGARCH Computer Architecture News, vol.34, issue.4, pp.1-17, 2006.
DOI : 10.1145/1186736.1186737

D. Lee and K. Choi, Energy-efficient partitioning of hybrid caches in multi-core architecture, Very Large Scale Integration (VLSI-SoC), 2014 22nd International Conference on, pp.37-42, 2014.
URL : https://hal.archives-ouvertes.fr/hal-01383728

J. Li, C. Xue, and Y. Xu, STT-RAM based energy-efficiency hybrid cache for CMPs, 2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, pp.31-36, 2011.
DOI : 10.1109/VLSISoC.2011.6081626

Q. Li, J. Li, L. Shi, C. J. Xue, and Y. He, MAC, Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, ISLPED '12, pp.351-35612, 2012.
DOI : 10.1145/2333660.2333738

URL : https://hal.archives-ouvertes.fr/hal-00529679

Y. Li, Y. Chen, and A. K. Jones, A software approach for combating asymmetries of non-volatile memories, Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, ISLPED '12, pp.191-196, 2012.
DOI : 10.1145/2333660.2333708

N. Muralimanohar, R. Balasubramonian, and N. P. Jouppi, Cacti 6.0: A tool to model large caches, HP Laboratories, 2009.

A. Patel, F. Afram, S. Chen, and K. Ghose, MARSS, Proceedings of the 48th Design Automation Conference on, DAC '11, pp.1050-1055, 2011.
DOI : 10.1145/2024724.2024954

M. Qureshi and Y. Patt, Utility-Based Cache Partitioning: A Low-Overhead, High-Performance, Runtime Mechanism to Partition Shared Caches, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06), pp.423-432, 2006.
DOI : 10.1109/MICRO.2006.49

P. Rosenfeld, E. Cooper-balis, and B. Jacob, DRAMSim2: A Cycle Accurate Memory System Simulator, IEEE Computer Architecture Letters, vol.10, issue.1, pp.16-19, 2011.
DOI : 10.1109/L-CA.2011.4

G. Sun, X. Dong, Y. Xie, J. Li, and Y. Chen, A novel architecture of the 3D stacked MRAM L2 cache for CMPs, 2009 IEEE 15th International Symposium on High Performance Computer Architecture, pp.239-249, 2009.
DOI : 10.1109/HPCA.2009.4798259

K. Sundararajan, V. Porpodas, T. Jones, N. Topham, and B. Franke, Cooperative partitioning: Energy-efficient cache partitioning for high-performance CMPs, IEEE International Symposium on High-Performance Comp Architecture, pp.1-12, 2012.
DOI : 10.1109/HPCA.2012.6169036

J. Wang, X. Dong, and Y. Xie, OAP: An Obstruction-Aware Cache Management Policy for STT-RAM Last-Level Caches, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2013, pp.847-852, 2013.
DOI : 10.7873/DATE.2013.179

X. Wu, J. Li, L. Zhang, E. Speight, and Y. Xie, Power and performance of readwrite aware hybrid caches with non-volatile memories. In: Design, Automation Test in Europe Conference Exhibition, pp.737-742, 2009.

X. Wu, J. Li, L. Zhang, E. Speight, R. Rajamony et al., Hybrid cache architecture with disparate memory technologies, Proceedings of the 36th Annual International Symposium on Computer Architecture, pp.34-45, 2009.

M. Zhou, Y. Du, B. Childers, R. Melhem, and D. Mossé, Writeback-aware partitioning and replacement for last-level caches in phase change main memory systems, ACM Transactions on Architecture and Code Optimization, vol.8, issue.4
DOI : 10.1145/2086696.2086732