L. For, http://clang.llvm.org 2015. The LLVM Compiler Infrastructure. (Feb. 2015) http://llvm.org Vagelis Bebelis, Pascal Fradet, Alain Girault, and Bruno Lavigueur. 2013a. A Framework to Schedule Parametric Dataflow Applications on Many-Core Platforms, 17th workshop on Compilers for Parallel Computing, 2015.

V. Bebelis, P. Fradet, A. Girault, and B. Lavigueur, BPDF: A statically analyzable dataflow model with integer and boolean parameters, 2013 Proceedings of the International Conference on Embedded Software (EMSOFT), pp.1-10, 2013.
DOI : 10.1109/EMSOFT.2013.6658581

URL : https://hal.archives-ouvertes.fr/hal-00923672

M. Benazouz, A. Munier-kordon, T. Hujsa, and B. Bodin, Liveness evaluation of a cyclo-static DataFlow graph, Proceedings of the 50th Annual Design Automation Conference on, DAC '13, 2013.
DOI : 10.1145/2463209.2488736

URL : https://hal.archives-ouvertes.fr/hal-00861992

H. Berg, C. Brunelli, and U. Lucking, Analyzing models of computation for software defined radio applications, 2008 International Symposium on System-on-Chip, pp.1-4, 2008.
DOI : 10.1109/ISSOC.2008.4694886

B. Bhattacharya and S. S. Bhattacharyya, Parameterized dataflow modeling for DSP systems, IEEE Transactions on Signal Processing, vol.49, issue.10, pp.2408-2421, 2001.
DOI : 10.1109/78.950795

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.22.9370

S. Shuvra, P. K. Bhattacharyya, E. A. Murthy, and . Lee, Synthesis of embedded software from synchronous dataflow specifications Journal of VLSI signal processing systems for signal, pp.151-166, 1999.

T. Joseph, S. Buck, . Ha, A. Edward, . Lee et al., Ptolemy: A framework for simulating and prototyping heterogeneous systems, International Journal of Computer Simulation, special issue on Simulation Software Development, issue.4, pp.155-182, 1994.

M. P. Jõ-ao, P. C. Cardoso, M. Diniz, and . Weinhardt, Compiling for reconfigurable computing, Comput. Surveys, vol.42, issue.4, pp.1-65, 2010.

J. Castrillon, S. Schürmanssch¨schürmans, A. Stulova, W. Sheng, T. Kempf et al., Component-based waveform development: the Nucleus tool flow for efficient and portable software defined radio, Analog Integrated Circuits and Signal Processing, vol.93, issue.2, pp.2-3, 2011.
DOI : 10.1007/s10470-011-9670-1

F. Clermidy, C. Bernard, R. Lemaire, J. Martin, I. Miro-panades et al., A 477mW NoC-based digital baseband for MIMO 4G SDR, 2010 IEEE International Solid-State Circuits Conference, (ISSCC), pp.278-279, 2010.
DOI : 10.1109/ISSCC.2010.5433920

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.472.8659

F. Clermidy, R. Lemaire, X. Popon, D. Ktenas, and Y. Thonnart, An Open and Reconfigurable Platform for 4G Telecommunication: Concepts and Application, 2009 12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, pp.449-456, 2009.
DOI : 10.1109/DSD.2009.200

F. Clermidy, R. Lemaire, and Y. Thonnart, A Communication and configuration controller for NoC based reconfigurable data flow architecture, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip, pp.153-162, 2009.
DOI : 10.1109/NOCS.2009.5071463

M. Damavandpeyma, S. Stuijk, T. Basten, M. Geilen, and H. Corporaal, Modeling staticorder schedules in synchronous dataflow graphs, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.775-780, 2012.

M. Dardaillon, K. Marquet, J. Martin, T. Risset, and H. Charles, Cognitive Radio Programming: Existing Solutions and Open Issues, 2013.
URL : https://hal.archives-ouvertes.fr/hal-00859467

M. Dardaillon, K. Marquet, T. Risset, J. Martin, and H. Charles, A compilation flow for parametric dataflow, Proceedings of the 2014 International Conference on Compilers, Architecture and Synthesis for Embedded Systems, CASES '14, 2014.
DOI : 10.1145/2656106.2656110

URL : https://hal.archives-ouvertes.fr/hal-01048649

M. Dardaillon, K. Marquet, T. Risset, J. Martin, and H. Charles, Compilation for heterogeneous SoCs : Bridging the gap between software and target-specific mechanisms, Workshop on High Performance Energy Efficient Embedded Systems (HIPEAC), 2014.
URL : https://hal.archives-ouvertes.fr/hal-00936924

P. Fradet, A. Girault, and P. Poplavko, SPDF: A schedulable parametric data-flow MoC, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp.769-774, 2012.
DOI : 10.1109/DATE.2012.6176572

URL : https://hal.archives-ouvertes.fr/hal-00744376

M. Geilen, T. Basten, and S. Stuijk, Minimising buffer requirements of synchronous dataflow graphs with model checking, Proceedings of the 42nd annual conference on Design automation , DAC '05, p.819, 2005.
DOI : 10.1145/1065579.1065796

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.106.5720

M. Geilen, S. Tripakis, and M. Wiggers, The earlier the better, Proceedings of the 14th international conference on Hybrid systems: computation and control, HSCC '11, pp.23-32, 2011.
DOI : 10.1145/1967701.1967707

M. Amir-hossein-ghamarian, T. Geilen, . Basten, D. Bart, M. R. Theelen et al., Liveness and boundedness of synchronous data flow graphs, In Formal Methods in Computer Aided Design, pp.68-75, 2006.

C. R. Gonzalez, C. B. Dietrich, S. Sayed, H. I. Volos, J. D. Gaeddert et al., Open-source SCA-based core framework and rapid development tools enable softwaredefined radio education and research, IEEE Communications Magazine, vol.47, pp.10-48, 2009.
DOI : 10.1109/mcom.2009.5273808

J. Gonzalez-pina, R. Ameur-boulifa, and R. Pacalet, DiplodocusDF, a Domain-Specific Modelling Language for Software Defined Radio Applications, 2012 38th Euromicro Conference on Software Engineering and Advanced Applications, pp.1-8, 2012.
DOI : 10.1109/SEAA.2012.36

URL : https://hal.archives-ouvertes.fr/hal-00739847

T. Goubier, R. Sirdey, S. Louise, and V. David, ??C: A Programming Model and Language for Embedded Manycores, Algorithms and Architectures for Parallel Processing -11th International Conference, pp.385-394, 2011.
DOI : 10.1007/978-3-642-24650-0_33

H. Pieter, T. C. Hartel, and . Ruys, Scheduling optimisations for SPIN to minimise buffer requirements in synchronous data flow, International Conference on Formal Methods in Computer-Aided Design (FMCAD), 2008.

J. Heulot, M. Pelcat, J. Nezan, Y. Oliva, S. Aridhi et al., Just-in-time scheduling techniques for multicore signal processing systems, 2014 IEEE Global Conference on Signal and Information Processing (GlobalSIP), pp.25-29, 2014.
DOI : 10.1109/GlobalSIP.2014.7032071

URL : https://hal.archives-ouvertes.fr/hal-01101790

J. Gerard and . Holzmann, The SPIN model checker: Primer and reference manual, 2004.

J. Gerard, D. Holzmann, and . Peled, An improvement in formal verification, International Conference on Formal Description Techniques (FORTE), pp.197-211, 1994.

W. M. Johnston, J. R. Hanna, and R. J. Millar, Advances in dataflow programming languages, ACM Computing Surveys, vol.36, issue.1, pp.1-34, 2004.
DOI : 10.1145/1013208.1013209

S. Kang, H. Yang, L. Schor, I. Bacivarov, S. Ha et al., Multi-objective mapping optimization via problem decomposition for many-core systems, 2012 IEEE 10th Symposium on Embedded Systems for Real-time Multimedia, pp.28-37, 2012.
DOI : 10.1109/ESTIMedia.2012.6507026

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.304.4210

M. Karczmarek, W. Thies, and S. Amarasinghe, Phased scheduling of stream programs, Conference on Languages, Compilers, and Tools for Embedded Systems (LCTES), 2003.
DOI : 10.1145/780732.780747

P. Kourzanov, O. Moreira, J. Henk, and . Sips, Disciplined Multi-core Programming in C, International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA), 2010.

S. Kwon, Y. Kim, C. Woo, S. Jeun, Y. Ha et al., A retargetable parallelprogramming framework for MPSoC, ACM Trans. Des. Autom. Electron. Syst, vol.13, pp.3-4, 2008.

A. Edward, D. G. Lee, and . Messerschmitt, Synchronous data flow, Proc. IEEE, pp.1235-1245, 1987.

Y. Lin, R. Mullenix, M. Woh, S. Mahlke, T. Mudge et al., SPEX: A programming language for software defined radio, SDR Forum Technical Conference, pp.13-17, 2006.

W. Liu, Z. Gu, J. Xu, Y. Wang, and M. Yuan, An efficient technique for analysis of minimal buffer requirements of synchronous dataflow graphs with model checking, Proceedings of the 7th IEEE/ACM international conference on Hardware/software codesign and system synthesis, CODES+ISSS '09, pp.61-70, 2009.
DOI : 10.1145/1629435.1629445

A. Malik and D. Gregg, Orchestrating stream graphs using model checking, ACM Transactions on Architecture and Code Optimization, vol.10, issue.3, p.19, 2013.
DOI : 10.1145/2512435

K. Marquet and M. Moy, PinaVM, Proceedings of the tenth ACM international conference on Embedded software, EMSOFT '10, p.79, 2010.
DOI : 10.1145/1879021.1879032

URL : https://hal.archives-ouvertes.fr/hal-00495874

. Preeti-ranjan-panda, SystemC -A modeling platform supporting multiple design, Proc. 14th International Symposium on Systems Synthesis (ISSS), pp.75-80, 2001.

M. Pelcat, K. Desnos, J. Heulot, C. Guy, J. Nezan et al., Preesm: A dataflow-based rapid prototyping framework for simplifying multicore DSP programming, 2014 6th European Embedded Design in Education and Research Conference (EDERC), pp.36-40, 2014.
DOI : 10.1109/EDERC.2014.6924354

URL : https://hal.archives-ouvertes.fr/hal-01059313

P. Quinton and T. Risset, Structured Scheduling of Recurrence Equations: Theory and Practice, Proc. of the System Architecture MOdelling and Simulation Workshop, pp.112-134, 2001.
DOI : 10.1007/3-540-45874-3_7

T. Risset, R. B. Abdallah, A. Fraboulet, and J. Martin, Digital Front-End in Wireless Communications and Broadcasting Chapter Programming models and implementation platforms for software defined radio configuration, pp.650-670, 2011.

H. Salunkhe, O. Moreira, and K. Van-berkel, Mode-controlled dataflow based modeling & analysis of a 4g-lte receiver, Proceedings of the conference on Design, p.212, 2014.

F. Siyoum, M. Geilen, O. Moreira, R. Nas, and H. Corporaal, Analyzing synchronous dataflow scenarios for dynamic software-defined radio applications, 2011 International Symposium on System on Chip (SoC), pp.14-21, 2011.
DOI : 10.1109/ISSOC.2011.6089222

S. Stuijk, M. Geilen, and T. Basten, SDF3: SDF For Free, International Conference on Application of Concurrency to System Design (ACSD). IEEE, pp.276-278, 2006.
DOI : 10.1109/acsd.2006.23

S. Stuijk, M. Geilen, B. Theelen, and T. Basten, Scenario-aware dataflow: Modeling, analysis and implementation of dynamic applications, 2011 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, pp.404-411, 2011.
DOI : 10.1109/SAMOS.2011.6045491

B. Theelen, M. Geilen, and J. Voeten, Performance Model Checking Scenario-Aware Dataflow, Proceedings of the International Conference on Formal Modeling and Analysis of Timed Systems (FORMATS), pp.43-59, 2011.
DOI : 10.1016/S0166-5316(02)00105-0

W. Tong, O. Moreira, R. Nas, and K. Van-berkel, Hard-Real-Time Scheduling on a Weakly Programmable Multi-core Processor with Application to Multi-standard Channel Decoding, 2012 IEEE 18th Real Time and Embedded Technology and Applications Symposium, pp.2012-2030, 2012.
DOI : 10.1109/RTAS.2012.32

URL : http://library.tue.nl/csp/dare/LinkToRepository.csp?recordnumber=734283

H. Maarten and . Wiggers, Aperiodic multiprocessor scheduling for real-time stream processing applications, 2009.

M. Woh, Y. Harel, S. Mahlke, T. Mudge, C. Chakrabarti et al., SODA: A Low-power Architecture For Software Radio, 33rd International Symposium on Computer Architecture, pp.89-101, 2006.

M. Woh, S. Seo, H. Lee, Y. Lin, S. Mahlke et al., The Next Generation Challenge for Software Defined Radio, Embedded Computer Systems: Architectures, Modeling, and Simulation. Samos, Greece, pp.343-354, 2007.
DOI : 10.1007/978-3-540-73625-7_36

URL : http://cccp.eecs.umich.edu/papers/woh-samos07.pdf

J. Zyren and W. Mccoy, Overview of the 3GPP long term evolution physical layer, 2007.