M. Awasthi, K. Sudan, R. Balasubramonian, and J. Carter, Dynamic hardwareassisted software-controlled page placement to manage capacity allocation and sharing within large caches, Proceedings of IEEE 15th International Symposium on High Performance Computer Architecture (HPCA'09), pp.250-261, 2009.
DOI : 10.1109/hpca.2009.4798260

M. Chaudhuri, PageNUCA: Selected policies for page-grain locality management in large shared chip-multiprocessor caches, 2009 IEEE 15th International Symposium on High Performance Computer Architecture, pp.227-238, 2009.
DOI : 10.1109/HPCA.2009.4798258

Z. Chishti, M. D. Powell, and T. Vijaykumar, Distance associativity for highperformance energy-efficient non-uniform cache architectures, Proceedings of 36th Annual IEEE/ACM International Symposium on Microarchitecture (MI- CRO'03), pp.55-66, 2003.

S. Hanzawa, N. Kitai, K. Osada, A. Kotabe, Y. Matsui et al., A 512kb embedded phase change memory with 416kb/s write throughput at 100µa cell write current, Proceedings of IEEE International Solid-State Circuits Conference (ISSCC'07), pp.474-616, 2007.

M. Hosomi, H. Yamagishi, T. Yamamoto, K. Bessho, Y. Higo et al., A novel nonvolatile memory with spin torque transfer magnetization switching: spin-ram, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest.
DOI : 10.1109/IEDM.2005.1609379

C. Lam, Cell Design Considerations for Phase Change Memory as a Universal Memory, 2008 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), pp.132-133, 2008.
DOI : 10.1109/VTSA.2008.4530832

G. H. Loh, S. Subramaniam, and Y. Xie, Zesto: A cycle-level simulator for highly detailed microarchitecture exploration, 2009 IEEE International Symposium on Performance Analysis of Systems and Software, pp.53-64, 2009.
DOI : 10.1109/ISPASS.2009.4919638

N. Muralimanohar, R. Balasubramonian, and N. P. Jouppi, Cacti 6.0: A tool to model large caches, HP Laboratories, 2009.

F. Pellizzer, A. Pirovano, F. Ottogalli, M. Magistretti, M. Scaravaggi et al., Novel µtrench phase-change memory cell for embedded and stand-alone non-volatile memory applications, Proceedings of International Symposium on VLSI Technology, Systems and Applications (VLSI- TSA'08), pp.18-19, 2004.

G. Sun, X. Dong, Y. Xie, J. Li, and Y. Chen, A novel architecture of the 3D stacked MRAM L2 cache for CMPs, 2009 IEEE 15th International Symposium on High Performance Computer Architecture, pp.239-249, 2009.
DOI : 10.1109/HPCA.2009.4798259

X. Wu, J. Li, L. Zhang, E. Speight, R. Rajamony et al., Hybrid cache architecture with disparate memory technologies, Proceedings of International Symposium on Computer architecture (ISCA'09), pp.34-45, 2009.
DOI : 10.1145/1555815.1555761

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.463.8280

W. Zhao, E. Belhaire, Q. Mistral, C. Chappert, V. Javerliac et al., Macro-model of Spin-Transfer Torque based Magnetic Tunnel Junction device for hybrid Magnetic-CMOS design, 2006 IEEE International Behavioral Modeling and Simulation Workshop, pp.40-43, 2006.
DOI : 10.1109/BMAS.2006.283467