Q. Luo, C. Kong, and Y. Zhou, Understanding the Data Traffic of Uncore in Westmere NUMA Architecture, 2014 22nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, 2014.
DOI : 10.1109/PDP.2014.71

M. Advanced and . Devices, AMD HyperTransport Technology-based system architecture, 2002.

R. A. Maddox, G. Singh, and R. J. Safranek, A first look at the Intel QuickPath Interconnect Hillsboto, OR: Intel Corporation, 2009.

H. Li, S. Tandri, M. Stumm, and K. Sevcik, Locality and Loop Scheduling on NUMA Multiprocessors, 1993 International Conference on Parallel Processing, ICPP'93 Vol2, 1993.
DOI : 10.1109/ICPP.1993.112

J. Marathe and F. Mueller, Hardware profile-guided automatic page placement for ccNUMA systems, Proceedings of the eleventh ACM SIGPLAN symposium on Principles and practice of parallel programming , PPoPP '06, 2006.
DOI : 10.1145/1122971.1122987

C. Mccurdy and J. Vetter, Memphis: Finding and fixing NUMA-related performance problems on multi-core platforms, 2010 IEEE International Symposium on Performance Analysis of Systems & Software (ISPASS), 2010.
DOI : 10.1109/ISPASS.2010.5452060

T. Ogasawara, NUMA-aware memory manager with dominant-thread-based copying GC, Proceedings of the 24th ACM SIGPLAN conference on Object oriented programming systems languages and applications (OOPSLA), 2009.
DOI : 10.1145/1640089.1640117

M. M. Tikir and J. Hollingsworth, NUMA-Aware Java Heaps for Server Applications, 19th IEEE International Parallel and Distributed Processing Symposium, 2005.
DOI : 10.1109/IPDPS.2005.299

M. M. Tikir and J. Hollingsworth, Hardware monitors for dynamic page migration, Journal of Parallel and Distributed Computing, vol.68, issue.9, pp.681186-1200, 2008.
DOI : 10.1016/j.jpdc.2008.05.006

B. Verghese, S. Devine, and A. Gupta, Operating system support for improving data locality on CC-NUMA computer servers, Proceedings of the seventh international conference on Architectural support for programming languages and operating systems(ASPLOS), 1996.

K. M. Wilson and B. Aglietti, Dynamic page placement to improve locality in CC-NUMA multiprocessors for TPC-C, Proceedings of the 2001 ACM/IEEE conference on Supercomputing (CDROM) , Supercomputing '01, 2001.
DOI : 10.1145/582034.582067

M. Awasthi, D. W. Nellans, and K. Sudan, Handling the problems and opportunities posed by multiple onchip memory controllers, 19th International Conference on Parallel Architecture and Compilation Techniques (PACT), 2010.

Z. Majo and T. R. Gross, Memory system performance in a NUMA multicore multiprocessor, Proceedings of the 4th Annual International Conference on Systems and Storage, SYSTOR '11, 201114.
DOI : 10.1145/1987816.1987832

M. Dashti, A. Fedorova, J. Funston, F. Gaud, R. Lachaize et al., Lepers, etc. Traffic management: A holistic approach to memory placement on NUMA systems, 18th International Conference on Architectural Support for Programming Languages and Operating Systems, 2013.

R. Yang, J. Antony, A. Rendell, D. Robson, and P. Strazdins, Profiling Directed NUMA Optimization on Linux Systems: A Case Study of the Gaussian Computational Chemistry Code, 2011 IEEE International Parallel & Distributed Processing Symposium, 2011.
DOI : 10.1109/IPDPS.2011.100

J. Treibig, M. Meier, G. Hager, and G. Wellein, Poster -LIKWID: Lightweight performance tools. [C] the 2011 High Performance Computing Networking, Storage and Analysis, Seattle:ACM Nas Prallel Benchmark, 201119.
DOI : 10.1007/978-3-642-24025-6_14