J. Constantin, A. Dogan, O. Andersson, P. Meinerzhagen, J. Rodrigues et al., TamaRISC-CS: An ultra-low-power application-specific processor for compressed sensing, VLSI and System-on-Chip (VLSI-SoC) 2012 IEEE/IFIP 20th International Conference on, pp.159-164, 2012.
DOI : 10.1109/vlsi-soc.2012.6379023

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.372.7045

D. L. Donoho, Compressed sensing, IEEE Transactions on Information Theory, vol.52, issue.4, pp.1289-1306, 2006.
DOI : 10.1109/TIT.2006.871582

URL : https://hal.archives-ouvertes.fr/inria-00369486

H. Mamaghanian, Compressed Sensing for Real-Time Energy-Efficient ECG Compression on Wireless Body Sensor Nodes, IEEE Transactions on Biomedical Engineering, vol.58, issue.9, pp.2456-2466, 2011.
DOI : 10.1109/TBME.2011.2156795

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.364.2336

S. C. Jocke, A 2.6-uw sub-threshold mixed-signal ecg soc, In: Symposium on VLSI Circuits, pp.60-61, 2009.

S. Hanson, A Low-Voltage Processor for Sensing Applications With Picowatt Standby Mode, IEEE Journal of Solid-State Circuits, vol.44, issue.4, pp.1145-1155, 2009.
DOI : 10.1109/JSSC.2009.2014205

J. Kwong, A 65nm sub-vt microcontroller with integrated sram and switched-capacitor dc-dc converter, In: ISSCCl, pp.318-616, 2008.

A. Y. Dogan, Power/Performance Exploration of Single-core and Multi-core Processor Approaches for Biomedical Signal Processing, In: PATMOS, vol.32, issue.6, pp.102-111, 2011.
DOI : 10.1016/S0010-4825(02)00034-3

S. Hanson, Exploring Variability and Performance in a Sub-200-mV Processor, IEEE Journal of Solid-State Circuits, vol.43, issue.4, pp.881-891, 2008.
DOI : 10.1109/JSSC.2008.917505

B. Zhai, A 2.60pJ/Inst Subthreshold Sensor Processor for Optimal Energy Efficiency, 2006 Symposium on VLSI Circuits, 2006. Digest of Technical Papers., pp.154-155, 2006.
DOI : 10.1109/VLSIC.2006.1705356

URL : https://hal.archives-ouvertes.fr/hal-00552680

R. Dreslinski, Near-Threshold Computing: Reclaiming Moore's Law Through Energy Efficient Integrated Circuits, Proc. IEEE, pp.253-266, 2010.
DOI : 10.1109/JPROC.2009.2034764

C. Banz, Instruction set extension for high throughput disparity estimation in stereo image processing, ASAP 2011, 22nd IEEE International Conference on Application-specific Systems, Architectures and Processors, pp.169-175, 2011.
DOI : 10.1109/ASAP.2011.6043265

J. Kwong and A. Chandrakasan, An Energy-Efficient Biomedical Signal Processing Platform, IEEE Journal of Solid-State Circuits, vol.46, issue.7, pp.1742-1753, 2011.
DOI : 10.1109/JSSC.2011.2144450

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.353.8005

A. Y. Dogan, Multi-core architecture design for ultra-low-power wearable health monitoring systems, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012.
DOI : 10.1109/DATE.2012.6176640

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.222.4940

M. Qazi, M. Sinangil, and A. Chandrakasan, Challenges and Directions for Low-Voltage SRAM, IEEE Design & Test of Computers, vol.28, issue.1, pp.32-43, 2011.
DOI : 10.1109/MDT.2010.115

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.470.4522

S. Mukhopadhyay, H. Mahmoodi, and K. Roy, Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.24, issue.12, pp.1859-1880
DOI : 10.1109/TCAD.2005.852295

S. Jain, S. Khare, S. Yada, V. Ambili, P. Salihundam et al., A 280mV-to-1.2V wide-operating-range IA-32 processor in 32nm CMOS, 2012 IEEE International Solid-State Circuits Conference, pp.66-68
DOI : 10.1109/ISSCC.2012.6176932

P. Meinerzhagen, Benchmarking of standard-cell based memories in the sub-Vt domain in 65-nm CMOS technology, JETCAS, vol.1, issue.2, pp.173-182, 2011.

P. Meinerzhagen, O. Andersson, B. Mohammadi, Y. Sherazi, A. Burg et al., A 500 fW/bit 14 fJ/bit-access 4kb standard-cell based sub-VT memory in 65nm CMOS, Proc. IEEE ESSCIRC, pp.321-324

O. Akgun, High-level energy estimation in the sub-VT domain: Simulation and measurement of a cardiac event detector, IEEE TBCAS, vol.6, issue.1, pp.15-27, 2012.

P. Meinerzhagen, Synthesis strategies for sub-Vt systems, In: ECCTD, pp.552-555, 2011.

E. Vittoz, Low-Power Electronics Design, 2004.

H. Soeleman, K. Roy, and B. Paul, Robust subthreshold logic for ultra-low power operation, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.9, issue.1, pp.90-99, 2001.
DOI : 10.1109/92.920822

H. M. Of-health-sciences and T. B. Center, MIT-BIH arrhythmia database directory http