J. D. Owens, W. J. Dally, R. Ho, D. N. Jayasimha, S. W. Keckler et al., Research Challenges for On-Chip Interconnection Networks, IEEE Micro, vol.27, issue.5, pp.96-108, 2007.
DOI : 10.1109/MM.2007.4378787

S. Borkar and A. A. Chien, The future of microprocessors, Communications of the ACM, vol.54, issue.5, pp.67-77, 2011.
DOI : 10.1145/1941487.1941507

L. Benini and G. De-micheli, Networks on chips: a new SoC paradigm, Computer, vol.35, issue.1, pp.70-78, 2002.
DOI : 10.1109/2.976921

A. Balkan, G. Qu, and U. Vishkin, A Mesh-of-Trees Interconnection Network for Single-Chip Parallel Processing Application-Specific Systems, International Conference on Architectures and Processors, pp.73-80, 2006.

L. Plurality, The hyperCore architecture, 2010.

A. Rahimi, I. Loi, M. Kakoee, and L. Benini, A fully-synthesizable single-cycle interconnection network for Shared-L1 processor clusters Design, Automation Test in Europe Conference, pp.1-6, 2011.
DOI : 10.1109/date.2011.5763085

Y. Xie, Processor Architecture Design Using 3D Integration Technology, 2010 23rd International Conference on VLSI Design, pp.446-451, 2010.
DOI : 10.1109/VLSI.Design.2010.60

F. Li, C. Nicopoulos, T. Richardson, Y. Xie, V. Narayanan et al., Design and Management of 3D Chip Multiprocessors Using Network-in-Memory, ACM SIGARCH Computer Architecture News, vol.34, issue.2, pp.130-141, 2006.
DOI : 10.1145/1150019.1136497

G. Loh, 3D-Stacked memory architectures for multi-core processors, Proceedings of the 35th Annual International Symposium on Computer Architecture, pp.453-464, 2008.
DOI : 10.1145/1394608.1382159

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.121.9460

D. H. Woo, N. H. Seong, D. Lewis, and H. Lee, An optimized 3D-stacked memory architecture by exploiting excessive, high-density TSV bandwidth, HPCA, 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture, pp.1-12, 2010.
DOI : 10.1109/HPCA.2010.5416628

URL : http://arch.ece.gatech.edu/pub/hpca16.pdf

N. Madan, L. Zhao, N. Muralimanohar, A. Udipi, R. Balasubramonian et al., Optimizing communication and capacity in a 3D stacked reconfigurable cache hierarchy, 2009 IEEE 15th International Symposium on High Performance Computer Architecture, pp.262-274, 2009.
DOI : 10.1109/HPCA.2009.4798261

A. Mishra, X. Dong, G. Sun, Y. Xie, N. Vijaykrishnan et al., Architecting on-chip interconnects for stacked 3D STT-RAM caches in CMPs, ACM SIGARCH Computer Architecture News, vol.39, issue.3, pp.69-80, 2011.
DOI : 10.1145/2024723.2000074

F. Li, C. Nicopoulos, T. Richardson, Y. Xie, V. Narayanan et al., Design and Management of 3D Chip Multiprocessors Using Network-in-Memory, ACM SIGARCH Computer Architecture News, vol.34, issue.2, pp.130-141, 2006.
DOI : 10.1145/1150019.1136497

J. Kim, C. Nicopoulos, D. Park, R. Das, Y. Xie et al., A novel dimensionally-decomposed router for on-chip communication in 3D architectures, 34th International symposium on Computer architecture, pp.138-149, 2007.

D. Park, S. Eachempati, R. Das, A. Mishra, Y. Xie et al., MIRA, 35th Annual International Symposium on Computer Architecture, pp.251-261, 2008.
DOI : 10.1145/1394608.1382143

Y. Xu, Y. Du, B. Zhao, X. Zhou, Y. Zhang et al., A Low-Radix and Low- Diameter 3D Interconnection Network Design, 15th International Symposium on High Performance Computer Architecture, pp.30-42, 2009.

L. Xue, Y. Gao, and J. Fu, A High Performance 3D Interconnection Network for Many-Core Processors, 2nd International Conference on Computer Engineering and Technology, pp.383-389, 2010.

B. Ahmed, A. , B. Abdallah, A. Kuroda, and K. , Architecture and Design of Efficient 3D Network-on-Chip (3D NoC) for Custom Multicore SoC, 2010 International Conference on Broadband, Wireless Computing, Communication and Applications, pp.67-73, 2010.
DOI : 10.1109/BWCCA.2010.50

D. H. Kim, S. Mukhopadhyay, and S. K. Lim, Fast and Accurate Analytical Modeling of Through-Silicon-Via Capacitive Coupling, IEEE Transactions on Components, Packaging and Manufacturing Technology, vol.1, issue.2, p.168180, 2011.
DOI : 10.1109/TCPMT.2010.2101910

B. Shi and A. Srivastava, Liquid cooling for 3D-ICs, 2011 International Green Computing Conference and Workshops, pp.25-28, 2011.
DOI : 10.1109/IGCC.2011.6008576

X. Zhou, J. Yang, Y. Xu, Y. Zhang, and J. Zhao, Thermal-Aware Task Scheduling for 3D Multicore Processors, IEEE Transactions on Parallel and Distributed Systems, vol.21, issue.1, pp.60-71, 2010.
DOI : 10.1109/TPDS.2009.27

B. Goplen and S. Sapatnekar, Thermal via placement in 3D ICs, Proceedings of the 2005 international symposium on physical design , ISPD '05, pp.167-174, 2005.
DOI : 10.1145/1055137.1055171

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.78.9817

H. Yu and L. He, Dynamic power and thermal integrity in 3D integration, 2009 International Conference on Communications, Circuits and Systems, pp.1108-1112, 2009.
DOI : 10.1109/ICCCAS.2009.5250320