A. Dehon and H. Naeimi, Seven Strategies for Tolerating Highly Defective Fabrication, IEEE Design and Test of Computers, vol.22, issue.4, pp.306-315, 2005.
DOI : 10.1109/MDT.2005.94

A. P. Frantz, F. L. Kastensmidt, L. Carro, and E. Cota, Dependable Network-on-Chip Router Able to Simultaneously Tolerate Soft Errors and Crosstalk, 2006 IEEE International Test Conference, pp.1-9, 2006.
DOI : 10.1109/TEST.2006.297635

T. Lehtonen, P. Liljeberg, and J. Plosila, Online Reconfigurable Self-Timed Links for Fault Tolerant NoC, VLSI Design, vol.54, issue.1-2, pp.1-13, 2007.
DOI : 10.1109/92.863617

URL : http://doi.org/10.1155/2007/94676

M. Braga, E. Cota, F. L. Kastensmidt, and M. Lubaszewski, Efficiently using data splitting and retransmission to tolerate faults in networks-on-chip interconnects, Proceedings of 2010 IEEE International Symposium on Circuits and Systems, pp.4101-4104, 2010.
DOI : 10.1109/ISCAS.2010.5537611

A. Ganguly, P. P. Pande, and B. Belzer, Crosstalk-Aware Channel Coding Schemes for Energy Efficient and Reliable NOC Interconnects Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.17, issue.11, pp.1626-1639, 2009.
DOI : 10.1109/tvlsi.2008.2005722

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.455.11

M. R. Kakoee, V. Bertacco, and L. Benini, ReliNoC: A reliable network for priority-based on-chip communication, 2011 Design, Automation & Test in Europe, pp.1-6, 2011.
DOI : 10.1109/DATE.2011.5763112

M. Palesi, S. Kumar, and V. Catania, Leveraging Partially Faulty Links Usage for Enhancing Yield and Performance in Networks-on-Chip Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.29, issue.3, pp.426-440, 2010.

M. Koibuchi, H. Matsutani, H. Amano, and T. Mark-pinkston, A Lightweight Fault-Tolerant Mechanism for Network-on-Chip, Second ACM/IEEE International Symposium on Networks-on-Chip (nocs 2008), pp.13-22, 2008.
DOI : 10.1109/NOCS.2008.4492721

R. Tornero, V. Sterrantino, M. Palesi, and J. M. Ordua, A multi-objective strategy for concurrent mapping and routing in networks on chip, 2009 IEEE International Symposium on Parallel & Distributed Processing, pp.1-8, 2009.
DOI : 10.1109/IPDPS.2009.5161128

C. Concatto, P. Almeida, F. Kastensmidt, E. Cota, M. Lubaszewski et al., Improving yield of torus nocs through fault-diagnosis-and-repair of interconnect faults, 2009 15th IEEE International On-Line Testing Symposium, pp.61-66, 2009.
DOI : 10.1109/IOLTS.2009.5195984

V. D. Agrawal, Testing for faults, looking for defects, 2011 12th Latin American Test Workshop (LATW), 2011.
DOI : 10.1109/LATW.2011.5985888

A. Kologeski, C. Concatto, L. Carro, and F. L. Kastensmidt, Adaptive approach to tolerate multiple faulty links in Network-on-Chip, 2011 12th Latin American Test Workshop (LATW), pp.1-6, 2011.
DOI : 10.1109/LATW.2011.5985922

Y. Shih-yu and C. A. Papachristou, A method for detecting interconnect DSM defects in systems on chip Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.25, issue.1, pp.197-204, 2006.

L. Changbo and H. Lei, Distributed sleep transistor network for power reduction, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pp.937-946, 2004.

K. Shi and D. Howard, Sleep Transistor Design and Implementation - Simple Concepts Yet Challenges To Be Optimum, 2006 International Symposium on VLSI Design, Automation and Test, pp.1-4, 2006.
DOI : 10.1109/VDAT.2006.258121

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.133.9413

C. A. Zeferino and A. A. Susin, SoCIN: a parametric and scalable network-on-chip Integrated Circuits and Systems Design, SBCCI 2003. Proceedings. 16th Symposium on, pp.169-174, 2003.
DOI : 10.1109/sbcci.2003.1232824

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.472.6560

T. Sakurai, Approximation of wiring delay in MOSFET LSI Solid-State Circuits, IEEE Journal, vol.18, issue.4, pp.418-426, 1983.

C. A. Zeferino, M. E. Kreutz, and A. A. Susin, RASoC: a router soft-core for networks-onchip, Europe Conference and Exhibition, pp.198-203, 2004.
DOI : 10.1109/date.2004.1269230

E. Cota, F. L. Kastensmidt, M. Cassel, M. Herve, P. Almeida et al., A High-Fault-Coverage Approach for the Test of Data, Control and Handshake Interconnects in Mesh Networks-on-Chip, IEEE Transactions on Computers, vol.57, issue.9, pp.1202-1215, 2008.
DOI : 10.1109/TC.2008.62

Y. Shih-yu, C. A. Papachristou, and M. Taib-azar, Improving bus test via I/sub DDT/ and boundary scan, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), pp.307-312, 2001.
DOI : 10.1109/DAC.2001.935525

C. Grecu, P. Pande, A. Ivanov, and R. Saleh, BIST for Network-on-Chip Interconnect Infrastructures, 24th IEEE VLSI Test Symposium, pp.6-35, 2006.
DOI : 10.1109/VTS.2006.22

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.452.4291

A. Kologeski, C. Concatto, L. Carro, and F. L. Kastensmidt, Improving Reliability in NoCs by Application-Specific Mapping Combined with Adaptive Fault-Tolerant Method in the Links, 2011 Sixteenth IEEE European Test Symposium, pp.16-123, 2011.
DOI : 10.1109/ETS.2011.62

R. Tornero, V. Sterrantino, M. Palesi, and J. Orduna, A multi-objective strategy for concurrent mapping and routing in networks on chip, 2009 IEEE International Symposium on Parallel & Distributed Processing, pp.1-8, 2009.
DOI : 10.1109/IPDPS.2009.5161128

A. Choudhury, G. Palermo, C. Silvano, and V. Zaccaria, Yield enhancement by robust application-specific mapping on Network-on-Chips, Proceedings of the 2nd International Workshop on Network on Chip Architectures, NoCArc '09, pp.37-42, 2009.
DOI : 10.1145/1645213.1645223