A. Agnihorti, A. Mehmet-can-yildiz, A. Khatkhate, S. Mathur, P. H. Ono et al., Fractional cut: Improved recursive bisection placement, Proc. ICCAD, pp.307-310, 2003.

U. Brenner and J. Vygen, Legalizing a Placement with Minimum Total Movement, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.23, issue.12, pp.1597-1613, 2004.
DOI : 10.1109/TCAD.2004.836733

U. Brenner and M. Struzyna, Faster and better global placement by a new transportation algorithm, Proceedings of the 42nd annual conference on Design automation , DAC '05, pp.591-596, 2005.
DOI : 10.1145/1065579.1065733

T. Chan, J. Cong, K. Sze, and M. Xie, mPL6, Proceedings of the 2006 international symposium on Physical design , ISPD '06, pp.212-214, 2006.
DOI : 10.1145/1123008.1123055

T. Chen, Z. Jiang, T. Hsu, H. Chen, and Y. Chang, NTUplace3: A high-quality mixed-size analytical placer considering preplaced blocks and density constraints, Proc. ICCAD, pp.187-192, 2006.
DOI : 10.1109/iccad.2006.320084

URL : http://cc.ee.ntu.edu.tw/~ywchang/Papers/iccad06-ntuplace.pdf

K. Doll, F. M. Johannes, and K. J. Antreich, Iterative placement improvement by network flow methods, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.13, issue.10, pp.1189-1200, 1994.
DOI : 10.1109/43.317462

D. Hill, Method and system for high speed detailed placement of cells within integrated circuit designs, 2002.

S. Hur and J. Lillis, Mongrel: Hybrid techniques for standard cell placement, Proc. ICCAD, pp.165-170, 2000.

A. B. Kahng, P. Tucker, and A. Zelikovsky, Optimization of linear placements for wirelength minimization with free sites, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198), pp.241-244, 1999.
DOI : 10.1109/ASPDAC.1999.760005

A. B. Kahng, I. L. Markov, and S. Reda, On legalization of rowbased placements, Proc. GLS-VLSI, pp.214-219, 2004.
DOI : 10.1145/988952.989004

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.97.7628

B. Andrew, Q. Kahng, and . Wang, Implementation and extensibility of an analytic placer, IEEE TCAD, vol.24, issue.05, pp.734-747, 2005.

C. B. Lucasius, A. D. Dane, and G. Kateman, On k-medoid clustering of large data sets with the aid of a genetic algorithm: background, feasiblity and comparison, Analytica Chimica Acta, vol.282, issue.3, pp.3-647, 1993.
DOI : 10.1016/0003-2670(93)80130-D

T. Luo, H. Ren, C. J. Alpert, and D. Z. Pan, Computational geometry based placement migration, Proc. DAC, pp.41-47, 2007.

M. Pan, N. Viswanathan, and C. Chu, An efficient and effective detailed placement algorithm, Proc. ICCAD, pp.48-55, 2005.

D. Z. Haoxing-ren, C. J. Pan, P. Alpert, and . Villarrubia, Diffusionbased placement migration, Proc. DAC, pp.515-520, 2005.

J. A. Roy, D. A. Papa, N. Saurabh, H. H. Adya, A. N. Chan et al., Capo, Proceedings of the 2005 international symposium on physical design , ISPD '05, pp.224-226, 2005.
DOI : 10.1145/1055137.1055184

M. Sarrafzadeh and M. Wang, NRG: global and detailed placement, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD) ICCAD-97, pp.532-537, 1997.
DOI : 10.1109/ICCAD.1997.643590

P. Spindler and F. M. Johannes, Fast and robust quadratic placement based on an accurate linear net model, Proc. ICCAD, pp.179-186, 2006.
DOI : 10.1109/iccad.2006.320083

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.259.1157

P. Spindler, U. Schlichtmann, and F. M. Johannes, Abacus, Proceedings of the 2008 international symposium on Physical design , ISPD '08, pp.47-53, 2008.
DOI : 10.1145/1353629.1353640

T. C. Wang and D. F. Wong, Optimal floorplan area optimization, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.11, issue.8, pp.992-1002, 1992.
DOI : 10.1109/43.149770

D. F. Wong and C. L. Liu, A New Algorithm for Floorplan Design, 23rd ACM/IEEE Design Automation Conference, pp.101-107, 1986.
DOI : 10.1109/DAC.1986.1586075