A. Jaleel, K. B. Theobald, S. C. Steely, J. , and J. Emer, High performance cache replacement using re-reference interval prediction (RRIP), Proceedings of the 37th annual international symposium on Computer architecture (ISCA '10, pp.60-71, 2010.
DOI : 10.1145/1816038.1815971

V. Seshadri, O. Mutlu, M. A. Kozuch, and T. C. Mowry, The evictedaddress filter: a unified mechanism to address both cache pollution and thrashing, Proceedings of the 21st international conference on Parallel architectures and compilation techniques (PACT '12, pp.355-366, 2012.

A. Jaleel, W. Hasenplaugh, M. Qureshi, J. Sebot, S. Steely et al., Adaptive insertion policies for managing shared caches, Proceedings of the 17th international conference on Parallel architectures and compilation techniques, PACT '08, pp.208-219, 2008.
DOI : 10.1145/1454115.1454145

K. Moinuddin, A. Qureshi, Y. N. Jaleel, S. C. Patt, J. Steely et al., Adaptive insertion policies for high performance caching, Proceedings of the 34th annual international symposium on Computer architecture (ISCA '07, pp.381-391, 2007.

C. Wu, A. Jaleel, W. Hasenplaugh, M. Martonosi, S. C. Steely et al., SHiP, Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-44 '11, pp.430-441, 2011.
DOI : 10.1145/2155620.2155671

URL : https://hal.archives-ouvertes.fr/hal-01314834

K. Moinuddin, Y. N. Qureshi, and . Patt, Utility-Based Cache Partitioning: A Low- Overhead, High-Performance, Runtime Mechanism to Partition Shared Caches, Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture, 2006.

K. J. Nesbit, J. Laudon, and J. E. Smith, Virtual private caches, Proceedings of the 34th annual international symposium on Computer architecture (ISCA '07, pp.57-68, 2007.
DOI : 10.1145/1273440.1250671

Y. Xie and G. H. Loh, PIPP: promotion/insertion pseudo-partitioning of multicore shared caches, Proceedings of the 36th annual international symposium on Computer architecture (ISCA '09, pp.174-183, 2009.

R. Iyer, CQoS, Proceedings of the 18th annual international conference on Supercomputing , ICS '04, pp.257-266, 2004.
DOI : 10.1145/1006209.1006246

A. Gupta, J. Sampson, and M. Taylor, TimeCube: A manycore embedded processor with interference-agnostic progress tracking, 2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS), pp.227-236, 2013.
DOI : 10.1109/SAMOS.2013.6621127

M. Chaudhuri, J. Gaur, N. Bashyam, S. Subramoney, and J. Nuzman, Introducing hierarchy-awareness in replacement and bypass algorithms for lastlevel caches, Proceedings of the 21st international conference on Parallel architectures and compilation techniques (PACT '12, pp.293-304, 2012.

H. Gao and C. Wilkerson, A Dueling Segmented LRU Replacement Algorithm with Adaptive Bypassing, JWAC 2010 -1st JILP Worshop on Computer Architecture Competitions: cache replacement Championship, 2010.
URL : https://hal.archives-ouvertes.fr/inria-00492965

D. Jamison, D. M. Collins, and . Tullsen, Hardware identification of cache conflict misses, Proceedings of the 32nd annual ACM/IEEE international symposium on Microarchitecture (MICRO 32, pp.126-135, 1999.

T. L. Johnson, D. A. Connors, M. C. Merten, and W. W. Hwu, Run-time cache bypassing, IEEE Transactions on Computers, vol.48, issue.12, pp.12-1338, 1999.
DOI : 10.1109/12.817393

G. Kurian, O. Khan, and S. Devadas, The locality-aware adaptive cache coherence protocol, Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA '13, pp.523-534, 2013.

J. Gaur, M. Chaudhuri, and S. Subramoney, Bypass and insertion algorithms for exclusive last-level caches, Proceedings of the 38th annual international symposium on Computer architecture (ISCA '11, pp.81-92, 2011.
DOI : 10.1145/2000064.2000075

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.220.7987

A. Gonzlez, C. Aliagas, and M. Valero, A data cache with multiple caching strategies tuned to different types of locality, Proceedings of the 9th international conference on Supercomputing (ICS '95, pp.338-347, 1995.

S. Mcfarling, Cache replacement with dynamic exclusion, Proceedings of the 19th annual international symposium on Computer architecture (ISCA '92, pp.191-200, 1992.

R. A. Velasquez, P. Michaud, and A. Seznec, BADCO: Behavioral Application- Dependent Superscalar Core Model, SAMOS XII: International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation, 2012.
DOI : 10.1109/samos.2012.6404158

URL : https://hal.archives-ouvertes.fr/hal-00707346

O. Mutlu and T. Moscibroda, Parallelism-Aware Batch Scheduling, Proceedings of the 35th Annual International Symposium on Computer Architecture (ISCA '08), 2008.
DOI : 10.1145/1394608.1382128

W. Lin and S. K. Reinhardt, Predicting Last-Touch References under Optimal Replacement, 2002.

H. Liu, M. Ferdman, J. Huh, and D. Burger, Cache bursts: A new approach for eliminating dead blocks and increasing cache efficiency, 2008 41st IEEE/ACM International Symposium on Microarchitecture, pp.222-233, 2008.
DOI : 10.1109/MICRO.2008.4771793

A. Lai, C. Fide, and B. Falsafi, Dead-block prediction and dead-block correlating prefetchers, Proceedings of the 28th annual international symposium on Computer architecture (ISCA '01, pp.144-154, 2001.
DOI : 10.1145/384285.379259

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.23.7412

S. Tripti, B. Warrier, M. Anupama, and . Mutyam, An application-aware cache replacement policy for last-level caches, Proceedings of the 26th international conference on Architecture of Computing Systems (ARCS'13), pp.207-219, 2013.

R. L. Mattson, J. Gecsei, D. R. Slutz, and I. L. Traiger, Evaluation techniques for storage hierarchies, IBM Systems Journal, vol.9, issue.2, pp.78-117, 1970.
DOI : 10.1147/sj.92.0078

P. Michaud, Demystifying multicore throughput metrics, IEEE Computer Architecture Letters, vol.12, issue.2, pp.63-66, 2013.
DOI : 10.1109/L-CA.2012.25

URL : https://hal.archives-ouvertes.fr/hal-00737044

Z. Zhang, Z. Zhu, and X. Zhang, A permutation-based page interleaving scheme to reduce row-buffer conflicts and exploit data locality, Proceedings of the 33rd annual ACM/IEEE international symposium on Microarchitecture , MICRO 33, pp.32-41, 2000.
DOI : 10.1145/360128.360134

R. Manikantan, K. Rajan, and R. Govindarajan, Probabilistic shared cache management (PriSM), Proceedings of the 39th Annual International Symposium on Computer Architecture (ISCA '12, pp.428-439, 2012.
DOI : 10.1145/2366231.2337208

D. Sanchez and C. Kozyrakis, Vantage: scalable and efficient finegrain cache partitioning, Proceedings of the 38th annual international symposium on Computer architecture (ISCA '11, pp.57-68, 2011.
DOI : 10.1109/mm.2012.19

N. Beckmann and D. Sanchez, Jigsaw: scalable software-defined caches, Proceedings of the 22nd international conference on Parallel architectures and compilation techniques (PACT '13, pp.213-224, 2013.

R. Manikantan, K. Rajan, and R. Govindarajan, NUcache: An efficient multicore cache organization based on Next-Use distance, 2011 IEEE 17th International Symposium on High Performance Computer Architecture, pp.243-253, 2011.
DOI : 10.1109/HPCA.2011.5749733

G. Keramidas, P. Petoumenos, and S. Kaxiras, Cache replacement based on reuse-distance prediction, 2007 25th International Conference on Computer Design, pp.245-250, 2007.
DOI : 10.1109/ICCD.2007.4601909

M. Kharbutli and Y. Solihin, Counter-Based Cache Replacement and Bypassing Algorithms, IEEE Transactions on Computers, vol.57, issue.4, 2008.
DOI : 10.1109/TC.2007.70816

N. Duong, D. Zhao, T. Kim, R. Cammarota, M. Valero et al., Improving Cache Management Policies Using Dynamic Reuse Distances, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, pp.389-400, 2012.
DOI : 10.1109/MICRO.2012.43

D. Eklov, D. Black-schaffer, and E. Hagersten, Fast modeling of shared caches in multicore systems, Proceedings of the 6th International Conference on High Performance and Embedded Architectures and Compilers, HiPEAC '11, pp.147-157, 2011.
DOI : 10.1145/1944862.1944885

D. L. Schuff, M. Kulkarni, V. S. Pai, . L. Derek, M. Schuff et al., Accelerating multicore reuse distance analysis with sampling and parallelization, Proceedings of the 19th international conference on Parallel architectures and compilation techniques, PACT '10, pp.53-64, 2010.
DOI : 10.1145/1854273.1854286

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.174.8111

M. Takagi and K. Hiraki, Inter-reference gap distribution replacement, Proceedings of the 18th annual international conference on Supercomputing , ICS '04, pp.20-30, 2004.
DOI : 10.1145/1006209.1006213

B. Panda and S. Balachandran, CSHARP: Coherence and SHaring Aware Cache Replacement Policies for Parallel Applications, 2012 IEEE 24th International Symposium on Computer Architecture and High Performance Computing, pp.252-259
DOI : 10.1109/SBAC-PAD.2012.27

R. Natarajan and M. Chaudhuri, Characterizing multi-threaded applications for designing sharing-aware last-level cache replacement policies, 2013 IEEE International Symposium on Workload Characterization (IISWC), pp.1-10, 2013.
DOI : 10.1109/IISWC.2013.6704665

P. Petoumenos, G. Keramidas, and S. Kaxiras, Instruction-based reusedistance prediction for effective cache management, Proceedings of the 9th international conference on Systems, architectures, modeling and simulation (SAMOS'09), pp.49-58, 2009.