N. Weste and D. Harris, CMOS VLSI Design: A Circuits and Systems Perspective, 2010.

S. Kang and Y. Leblebici, CMOS digital integrated circuits: analysis and design. McGraw-Hill series in electrical engineering, 1999.

N. Kim, T. Austin, D. Baauw, T. Mudge, K. Flautner et al., Leakage current: Moore's law meets static power, Computer, vol.36, issue.12, pp.68-75, 2003.

J. Slaughter, R. Dave, M. Durlam, G. Kerszykowski, K. Smith et al., High speed toggle MRAM with mgO-based tunnel junctions, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest., pp.873-876, 2005.
DOI : 10.1109/IEDM.2005.1609496

K. Hoya, D. Takashima, S. Shiratake, R. Ogiwara, T. Miyakawa et al., A 64mb chain feram with quad-bl architecture and 200mb/s burst mode Digest of Technical Papers, Solid-State Circuits Conference, pp.459-466, 2006.

H. Wong, S. Raoux, S. Kim, J. Liang, J. Reifenberg et al., Phase Change Memory, Proceedings of the IEEE, vol.98, issue.12, pp.2201-2227, 2010.
DOI : 10.1109/JPROC.2010.2070050

M. Kund, G. Beitel, C. U. Pinnow, T. Rohr, J. Schumann et al., Conductive bridging RAM (CBRAM): an emerging non-volatile memory technology scalable to sub 20nm, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest., pp.754-757, 2005.
DOI : 10.1109/IEDM.2005.1609463

C. Chappert, A. Fert, and F. N. Van-dau, The emergence of spin electronics in data storage, Nature Materials, vol.96, issue.5, pp.813-823, 2007.
DOI : 10.1038/nmat2024

S. A. Wolf, D. D. Awschalom, R. A. Buhrman, J. M. Daughton, V. Molnár et al., Spintronics: A Spin-Based Electronics Vision for the Future, Science, vol.294, issue.5546, pp.1488-1495, 2001.
DOI : 10.1126/science.1065389

. Freescale, Freescale leads industry in commercializing mram technology; 4 mbit mram memory product now in volume production, 2006.

I. L. Prejbeanu, M. Kerekes, R. C. Sousa, H. Sibuet, O. Redon et al., Thermally assisted MRAM, Journal of Physics: Condensed Matter, vol.19, issue.16, p.165218, 2007.
DOI : 10.1088/0953-8984/19/16/165218

J. Z. Sun, Spin angular momentum transfer in current-perpendicular nanomagnetic junctions, IBM Journal of Research and Development, vol.50, issue.1, pp.81-100, 2006.
DOI : 10.1147/rd.501.0081

T. Kawahara, R. Takemura, K. Miura, J. Hayakawa, S. Ikeda et al., 2mb spin-transfer torque ram (spram) with bit-by-bit bidirectional current write and parallelizing-direction current read Digest of Technical Papers, Solid-State Circuits Conference, pp.480-617, 2007.

S. S. Parkin, M. Hayashi, and L. Thomas, Magnetic Domain-Wall Racetrack Memory, Science, vol.3, issue.12, pp.190-194, 2008.
DOI : 10.1038/nmat1256

C. Lin, S. Kang, Y. Wang, K. Lee, X. Zhu et al., 45nm low power CMOS logic compatible embedded STT MRAM utilizing a reverse-connection 1T/1MTJ cell, 2009 IEEE International Electron Devices Meeting (IEDM), pp.45-258, 2009.
DOI : 10.1109/IEDM.2009.5424368

K. Tsuchida, T. Inaba, K. Fujita, Y. Ueda, T. Shimizu et al., A 64Mb MRAM with clamped-reference and adequate-reference schemes, 2010 IEEE International Solid-State Circuits Conference, (ISSCC), pp.258-259, 2010.
DOI : 10.1109/ISSCC.2010.5433948

W. C. Black and B. Das, Programmable logic using giant-magnetoresistance and spin-dependent tunneling devices (invited), Journal of Applied Physics, vol.87, issue.9, pp.6674-6679, 2000.
DOI : 10.1109/20.801015

W. Zhao, E. Belhaire, C. Chappert, and P. Mazoyer, Spin transfer torque (STT)-MRAM--based runtime reconfiguration FPGA circuit, ACM Transactions on Embedded Computing Systems, vol.9, issue.2, pp.1-1416, 2009.
DOI : 10.1145/1596543.1596548

Y. Guillemenet, L. Torres, G. Sassatelli, N. Bruchon, and I. Hassoune, A non-volatile runtime fpga using thermally assisted switching mrams, FPL 2008. International Conference on. (sept, pp.421-426, 2008.
URL : https://hal.archives-ouvertes.fr/lirmm-00351718

D. Suzuki, M. Natsui, S. Ikeda, H. Hasegawa, K. Miura et al., Fabrication of a nonvolatile lookup-table circuit chip using magneto/semiconductor-hybrid structure for an immediate-power-up field programmable gate array, Symposium on, pp.80-81, 2009.

S. Yamamoto and S. Sugahara, Nonvolatile Delay Flip-Flop Based on Spin-Transistor Architecture and Its Power-Gating Applications, Japanese Journal of Applied Physics, vol.49, issue.9, p.90204, 2010.
DOI : 10.1143/JJAP.49.090204

N. Sakimura, T. Sugibayashi, R. Nebashi, and N. Kasai, Nonvolatile magnetic flip-flop for standby-power-free socs, Custom Integrated Circuits Conference, pp.355-358, 2008.
DOI : 10.1109/cicc.2008.4672095

S. Chaudhuri, W. Zhao, J. O. Klein, C. Chappert, and P. Mazoyer, Design of embedded MRAM macros for memory-in-logic applications, Proceedings of the 20th symposium on Great lakes symposium on VLSI, GLSVLSI '10, pp.155-158, 2010.
DOI : 10.1145/1785481.1785519

W. Zhao, E. Belhaire, B. Dieny, G. Prenat, and C. Chappert, TAS-MRAM based Non-volatile FPGA logic circuit, 2007 International Conference on Field-Programmable Technology, pp.153-160, 2007.
DOI : 10.1109/FPT.2007.4439244

Y. Guillemenet, L. Torres, and G. Sassatelli, Non-volatile run-time field-programmable gate arrays structures using thermally assisted switching magnetic random access memories, IET Computers & Digital Techniques, vol.4, issue.3, pp.211-226, 2010.
DOI : 10.1049/iet-cdt.2009.0019

T. Devolder, J. Hayakawa, K. Ito, H. Takahashi, S. Ikeda et al., Single-Shot Time-Resolved Measurements of Nanosecond-Scale Spin-Transfer Induced Switching: Stochastic Versus Deterministic Aspects, Physical Review Letters, vol.100, issue.5, p.57206, 2008.
DOI : 10.1103/PhysRevLett.100.057206

S. Ikeda, K. Miura, H. Yamamoto, K. Mizunuma, H. D. Gan et al., A perpendicular-anisotropy CoFeB???MgO magnetic tunnel junction, Nature Materials, vol.92, issue.9, pp.721-724, 2010.
DOI : 10.1038/nmat2804

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.308.162

W. Zhao, C. Chappert, V. Javerliac, and J. P. Noziere, High Speed, High Stability and Low Power Sensing Amplifier for MTJ/CMOS Hybrid Logic Circuits, IEEE Transactions on Magnetics, vol.45, issue.10, pp.3784-3787, 2009.
DOI : 10.1109/TMAG.2009.2024325

L. B. Faber, W. Zhao, J. O. Klein, T. Devolder, and C. Chappert, Dynamic compact model of Spin-Transfer Torque based Magnetic Tunnel Junction (MTJ), 2009 4th International Conference on Design & Technology of Integrated Systems in Nanoscal Era, pp.130-135, 2009.
DOI : 10.1109/DTIS.2009.4938040

K. Nepal, R. Bahar, J. Mundy, W. Patterson, and A. Zaslavsky, Designing MRF based Error Correcting Circuits for Memory Elements, Proceedings of the Design Automation & Test in Europe Conference, pp.1-2, 2006.
DOI : 10.1109/DATE.2006.244144

URL : http://cecs.uci.edu/~papers/date08/PAPERS/2006/DATE06/PDFFILES/07C_4.PDF

B. Dieny, R. C. Sousa, J. Herault, C. Papusoi, G. Prenat et al., Spin-transfer effect and its use in spintronic components, International Journal of Nanotechnology, vol.7, issue.4/5/6/7/8, p.591, 2010.
DOI : 10.1504/IJNT.2010.031735

W. Zhao, J. Duval, J. Klein, and C. Chappert, A compact model for magnetic tunnel junction (MTJ) switched by thermally assisted Spin transfer torque (TAS + STT), Nanoscale Research Letters, vol.6, issue.1, p.368, 2011.
DOI : 10.1063/1.1667413

URL : http://www.ncbi.nlm.nih.gov/pmc/articles/PMC3211458

D. C. Worledge, G. Hu, D. W. Abraham, J. Z. Sun, P. L. Trouilloud et al., Spin torque switching of perpendicular Ta???CoFeB???MgO-based magnetic tunnel junctions, Applied Physics Letters, vol.98, issue.2, p.22501, 2011.
DOI : 10.1016/0375-9601(75)90174-7

Z. Sun, X. Bi, H. Li, W. Wong, Z. Ong et al., Multi retention level STT-RAM cache designs with a dynamic refresh scheme, Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-44 '11, pp.329-338, 2011.
DOI : 10.1145/2155620.2155659

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.309.9012

I. Xilinx, Spartan-3 fpga family data sheet

L. Torres, Y. Guillemenet, and S. Z. Ahmed, A dynamic reconfigurable mram based fpga, ERSA10 Keynote Paper, p.10, 2010.

W. Zhao, E. Belhaire, V. Javerliac, C. Chappert, and B. Dieny, A non-volatile flip-flop in magnetic FPGA chip, International Conference on Design and Test of Integrated Systems in Nanoscale Technology, 2006. DTIS 2006., pp.323-326, 2006.
DOI : 10.1109/DTIS.2006.1708702

S. H. Kang, Embedded stt-mram for mobile applications: Enabling advanced chip architectures . In: Non-Volatile Memories Workshop, 2010.

L. Kothari and N. P. Carter, Architecture of a self-checkpointing microprocessor that incorporates nanomagnetic devices. Computers, IEEE Transactions on, vol.56, issue.2, pp.161-173, 2007.

D. Behtash-behin-aein, S. S. Datta, and S. Datt, Proposal for an all-spin logic device with built-in memory, Nature Nanotechnology, vol.50, issue.4, pp.266-270, 2010.
DOI : 10.1038/nnano.2010.31

D. A. Allwood, G. Xiong, C. C. Faulkner, D. Atkinson, D. Petit et al., Magnetic Domain-Wall Logic, Science, vol.309, issue.5741, pp.1688-1692, 2005.
DOI : 10.1126/science.1108813

S. Matsunaga, J. Hayakawa, S. Ikeda, K. Miura, H. Hasegawa et al., Fabrication of a Nonvolatile Full Adder Based on Logic-in-Memory Architecture Using Magnetic Tunnel Junctions, Applied Physics Express, vol.1, issue.9, p.91301, 2008.
DOI : 10.1143/APEX.1.091301

G. Sun, X. Dong, Y. Xie, J. Li, and Y. Chen, A novel architecture of the 3D stacked MRAM L2 cache for CMPs, 2009 IEEE 15th International Symposium on High Performance Computer Architecture, pp.239-249, 2009.
DOI : 10.1109/HPCA.2009.4798259

Y. Lakys, W. Zhao, J. O. Klein, and C. Chappert, Low power, high reliability magnetic flip-flop, Electronics Letters, vol.46, issue.22, pp.1493-1494, 2010.
DOI : 10.1049/el.2010.2039

D. Burger and T. M. Austin, The SimpleScalar tool set, version 2.0, ACM SIGARCH Computer Architecture News, vol.25, issue.3, pp.13-25, 1997.
DOI : 10.1145/268806.268810

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.36.8054

C. Lee, M. Potkonjak, and W. H. Mangione-smith, Mediabench: a tool for evaluating and synthesizing multimedia and communicatons systems, Proceedings of the 30th annual ACM/IEEE international symposium on Microarchitecture, pp.330-335, 1997.

A. Gaisler, Leon3 multiprocessing cpu core, 2010.

W. Zhao, Y. Zhang, Y. Lakys, J. O. Klein, D. Etiemble et al., Embedded MRAM for high-speed computing, 2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, pp.37-42, 2011.
DOI : 10.1109/VLSISoC.2011.6081627

K. Mackay, Tas, tas+stt-mram and magnetic logic unit (nov. 2011) Property of Crocus Technology. Non authorized publication. 51. JC-42.3: Double data rate (ddr) sdram standard, JEDEC, 2008.

M. Powell, A. Agarwal, T. Vijaykumar, B. Falsafi, and K. Roy, Reducing set-associative cache energy via way-prediction and selective direct-mapping. Microarchitecture, MICRO- 34. Proceedings. 34th ACM/IEEE International Symposium on, pp.54-65, 2001.
DOI : 10.1109/micro.2001.991105

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.114.3583

J. L. Hennessy and D. A. Patterson, Computer architecture: a quantitative approach, 2007.

D. A. Patterson and J. L. Hennessy, Computer organization and design: the hardware/software interface, 2005.

B. Boschma, D. Burns, R. Chin, N. Fiduccia, C. Hu et al., A 30 mips vlsi cpu. Solid-State Circuits Conference, Digest of Technical Papers, pp.82-83, 1989.
DOI : 10.1109/isscc.1989.48191

H. Nambu, K. Kanetani, K. Yamasaki, K. Higeta, M. Usami et al., A 1.8-ns access, 550-mhz, 4.5-mb cmos sram. Solid-State Circuits, IEEE Journal, vol.33, issue.11, pp.1650-1658, 1998.

J. Alvarez, E. Barkin, C. C. Chao, B. Johnson, M. D-'addeo et al., mhz powerpctm microprocessor with enhanced instruction set and copper interconnect. Solid-State Circuits Conference, Digest of Technical Papers. ISSCC, pp.450-96, 1999.
DOI : 10.1109/isscc.1999.759141

K. Gharachorloo, A. Gupta, and J. Hennessy, Performance evaluation of memory consistency models for shared-memory multiprocessors, ACM SIGARCH Computer Architecture News, vol.19, issue.2, pp.245-257
DOI : 10.1145/106975.106997

A. Gutierrez, R. Dreslinski, T. Wenisch, T. Mudge, A. Saidi et al., Full-system analysis and characterization of interactive smartphone applications, 2011 IEEE International Symposium on Workload Characterization (IISWC), pp.81-90, 2011.
DOI : 10.1109/IISWC.2011.6114205