T. Brunschwiler, Interlayer cooling potential in vertically integrated packages, Microsystem Technologies, vol.2, issue.1, 2008.
DOI : 10.1007/s00542-008-0690-4

W. Gruener, IBM Cools 3D Chips With Integrated Water Channels

A. K. Coskun, T. S. Rosing, J. Ayala, D. Atienza, and Y. Leblebici, Dynamic thermal management in 3D multicore architectures, 2009 Design, Automation & Test in Europe Conference & Exhibition, 2009.
DOI : 10.1109/DATE.2009.5090885

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.454.6726

A. K. Coskun, J. Ayala, D. Atienza, and T. S. Rosing, Modeling and dynamic management of 3D multicore systems with liquid cooling, 2009 17th IFIP International Conference on Very Large Scale Integration (VLSI-SoC), 2009.
DOI : 10.1109/VLSISOC.2009.6041327

K. Skadron, M. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan et al., Temperature-aware microarchitecture, International Symposium on Computer Architecture (ISCA), 2003.
DOI : 10.1109/isca.2003.1206984

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.135.9559

P. Li, L. Pileggi, M. Asheghi, and R. Chandra, Ic thermal simulation and modeling via efficient multigrid-based approaches. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.25, issue.9, pp.1763-1776, 2006.

T. Y. Wang and C. Chen, Thermal-adi -a linear-time chip-level dynamic thermalsimulation algorithm based on alternating-direction-implicit (adi) method. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol.11, issue.4, pp.691-700, 2003.

Y. Yang, Z. Gu, C. Zhu, R. P. Dick, and L. Shang, Isac: Integrated space-and-timeadaptive chip-package thermal analysis. Computer-Aided Design of Integrated Circuits and Systems, IEEE Transactions on, vol.26, issue.1, pp.86-99, 2007.
DOI : 10.1109/tcad.2006.882589

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.461.3510

D. Atienza, P. D. Valle, G. Paci, F. Poletti, L. Benini et al., A fast HW/SW FPGA-based thermal emulation framework for multiprocessor system-on-chip, Design Automation Conference (DAC), 2006.
DOI : 10.1109/dac.2006.229307

K. J. Lee, K. Skadron, and W. Huang, Analytical model for sensor placement on microprocessors, Computer Design: VLSI in Computers and Processors, 2005. ICCD 2005. Proceedings. 2005 IEEE International Conference on, pp.24-27, 2005.

R. Mukherjee and S. O. Memik, Systematic temperature sensor allocation and placement for microprocessors, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.542-547, 2006.
DOI : 10.1145/1146909.1147051

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.214.9922

H. F. Hamann, A. Weger, J. A. Lacey, Z. Hu, P. Bose et al., Hotspot-limited microprocessors: Direct temperature and power distribution measurements . Solid-State Circuits, IEEE Journal, vol.42, issue.1, pp.56-65, 2007.
DOI : 10.1109/jssc.2006.885064

F. J. Mesa-martinez, J. Nayfach-battilana, and J. Renau, Power model validation through thermal measurements, ACM SIGARCH Computer Architecture News, vol.35, issue.2, pp.302-311, 2007.
DOI : 10.1145/1273440.1250700

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.115.7319

D. Brooks and M. Martonosi, Dynamic thermal management for high-performance microprocessors, Proceedings HPCA Seventh International Symposium on High-Performance Computer Architecture, pp.171-182, 2001.
DOI : 10.1109/HPCA.2001.903261

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.115.4549

S. Heo, K. Barr, and K. Asanovic, Reducing power density through activity migration, Proceedings of the 2003 international symposium on Low power electronics and design , ISLPED '03, pp.217-222, 2003.
DOI : 10.1145/871506.871561

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.586.7989

A. Kumar, L. Shang, L. S. Peh, and N. K. Jha, HybDTM, Proceedings of the 43rd annual conference on Design automation , DAC '06, pp.548-553, 2006.
DOI : 10.1145/1146909.1147052

J. Donald and M. Martonosi, Techniques for Multicore Thermal Management, International Symposium on Computer Architecture (ISCA), 2006.
DOI : 10.1145/1150019.1136493

P. Chaparro, J. Gonzalez, G. Magklis, Q. Cai, and A. Gonzalez, Understanding the Thermal Implications of Multi-Core Architectures, IEEE Transactions on Parallel and Distributed Systems, vol.18, issue.8, pp.1055-1065, 2007.
DOI : 10.1109/TPDS.2007.1092

A. K. Coskun, T. S. Rosing, K. A. Whisnant, and K. C. Gross, Static and Dynamic Temperature-Aware Scheduling for Multiprocessor SoCs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.16, issue.9, pp.1127-1140, 2008.
DOI : 10.1109/TVLSI.2008.2000726

Y. Li, B. Lee, D. Brooks, Z. Hu, and K. Skadron, CMP Design Space Exploration Subject to Physical Constraints, The Twelfth International Symposium on High-Performance Computer Architecture, 2006., pp.17-28, 2006.
DOI : 10.1109/HPCA.2006.1598109

M. Monchiero, R. Canal, and A. González, Design space exploration for multicore architectures, Proceedings of the 20th annual international conference on Supercomputing , ICS '06, pp.177-186, 2006.
DOI : 10.1145/1183401.1183428

W. Huang, M. R. Stan, K. Sankaranarayanan, R. J. Ribando, and K. Skadron, Many-core design from a thermal perspective, Proceedings of the 45th annual conference on Design automation, DAC '08, pp.746-749, 2008.
DOI : 10.1145/1391469.1391660

A. W. Topol, L. Tulipe, J. , D. C. Shi, L. Frank et al., Three-dimensional integrated circuits, IBM Journal of Research and Development, vol.50, issue.4.5, pp.491-506, 2006.
DOI : 10.1147/rd.504.0491

R. Reif, A. Fan, K. N. Chen, and S. Das, Fabrication technologies for threedimensional integrated circuits, Tezzaron: 3D IC industry summary 3D IC Summary.html [26] Samsung Proceedings. International Symposium on, pp.33-37, 2002.

Y. F. Tsai, Y. Xie, N. Vijaykrishnan, and M. J. Irwin, Three-dimensional cache design exploration using 3dcacti, ICCD '05: Proceedings of the 2005 International Conference on Computer Design, pp.519-524, 2005.

G. H. Loh, 3d-stacked memory architectures for multi-core processors, ISCA '08: Proceedings of the 35th International Symposium on Computer Architecture, pp.453-464, 2008.
DOI : 10.1145/1394608.1382159

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.121.9460

K. Puttaswamy and G. Loh, Implementing caches in a 3D technology for high performance processors, 2005 International Conference on Computer Design, pp.525-532, 2005.
DOI : 10.1109/ICCD.2005.65

K. Puttaswamy and G. H. Loh, Thermal analysis of a 3D die-stacked highperformance microprocessor, Proceedings of GLSVLSI, 2006.

L. Xue, C. Liu, and S. Tiwari, Multi-layers with buried structures (mlbs): an approach to three-dimensional integration, IEEE International, pp.117-118, 2001.

M. Healy, Multiobjective Microarchitectural Floorplanning for 2-D and 3-D ICs, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.26, issue.1, 2007.
DOI : 10.1109/TCAD.2006.883925

Z. Li, Integrating dynamic thermal via planning with 3D floorplanning algorithm, Proceedings of the 2006 international symposium on Physical design , ISPD '06, pp.178-185, 2006.
DOI : 10.1145/1123008.1123048

C. Zhu, Z. Gu, L. Shang, R. P. Dick, and R. Joseph, Three-dimensional chipmultiprocessor run-time thermal management, IEEE Transactions on CAD, vol.27, issue.8, pp.1479-1492, 2008.

D. B. Tuckerman and R. F. Pease, High-performance heat sinking for VLSI, IEEE Electron Device Letters, vol.2, issue.5, pp.126-129, 1981.
DOI : 10.1109/EDL.1981.25367

T. Brunschwiler, Direct liquid-jet impingement cooling with micron-sized nozzle array and distributed return architecture, In: ITHERM, 2006.
DOI : 10.1109/itherm.2006.1645343

A. Bhunia, K. Boutros, and C. L. Che, High heat flux cooling solutions for thermal management of high power density gallium nitride HEMT, The Ninth Intersociety Conference on Thermal and Thermomechanical Phenomena In Electronic Systems (IEEE Cat. No.04CH37543), 2004.
DOI : 10.1109/ITHERM.2004.1318255

H. Lee, Package embedded heat exchanger for stacked multi-chip module, Sensors and Actuators A: Physical, vol.114, issue.2-3, 2003.
DOI : 10.1016/j.sna.2003.12.026

H. B. Jang, I. Yoon, C. H. Kim, S. Shin, and S. W. Chung, The impact of liquid cooling on 3D multi-core processors, 2009 IEEE International Conference on Computer Design, 2009.
DOI : 10.1109/ICCD.2009.5413115

. Laing, 12 volt DC pumps datasheets

A. K. Coskun, T. Rosing, and K. Gross, Proactive temperature balancing for low cost thermal management in MPSoCs, 2008 IEEE/ACM International Conference on Computer-Aided Design, pp.250-257, 2008.
DOI : 10.1109/ICCAD.2008.4681582

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.459.5352

K. C. Gross and K. E. Humenik, Sequential probability ratio test for nuclear plant component surveillance, Nuclear Technology, vol.93, issue.2, pp.131-137, 1991.

A. Leon, A power-efficient high-throughput 32-thread SPARC processor, International Solid-State Circuits Conference (ISSCC), 2006.
DOI : 10.1109/isscc.2006.1696060

R. Mcdougall, J. Mauro, and B. Gregg, Solaris Performance and Tools, 2006.

H. Su, Full chip leakage estimation considering power supply and temperature variations, Proceedings of the 2003 international symposium on Low power electronics and design , ISLPED '03, 2003.
DOI : 10.1145/871506.871529

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.72.4614