H. G. Lee, N. Chang, U. Y. Ogras, and R. Marculescu, On-Chip Communication Architechture Exploration: a Quantitative Exploration of Point-to-Point, Bus and Networkon-chip Architectures, ACM Transactions on Design Automation of Eletronic Systems, vol.12, pp.21-40, 2007.

T. Marescaux, E. Brockmeyer, and H. Corporaal, The Impact of Higher Communication Layers on NoC Supported MPSoCs, Proceedings of the First International Symposium on Networks-on-Chip, pp.107-116, 2007.

M. Monchiero, G. Palermo, C. Silvano, and O. Villa, Exploration of Distributed Shared Memory Architectures for NoC-based Multiprocessors, Proceedings of the International Conference on Embedded Computer Systems: Architectures, pp.144-151, 2006.

N. Enright-jerger, L. Peh, and M. Lipasti, Virtual tree coherence: Leveraging regions and in-network multicast trees for scalable cache coherence, 2008 41st IEEE/ACM International Symposium on Microarchitecture, 2008.
DOI : 10.1109/MICRO.2008.4771777

S. A. Ito, L. Carro, and R. P. Jacobi, Making Java work for microcontroller applications, IEEE Design & Test of Computers, vol.18, issue.5, pp.100-110, 2001.
DOI : 10.1109/54.953277

URL : http://www.lume.ufrgs.br/bitstream/10183/27587/1/000313880.pdf

C. A. Zeferino, M. E. Kreutz, and A. A. Susin, RASoC: a router soft-core for networks-on-chip, Proceedings Design, Automation and Test in Europe Conference and Exhibition, pp.198-203, 2004.
DOI : 10.1109/DATE.2004.1269230

G. Girão, B. C. De-oliveira, R. Soares, and I. S. Silva, Cache coherency communication cost in a NoC-based MPSoC platform, Proceedings of the 20th annual conference on Integrated circuits and systems design, SBCCI '07, pp.288-293, 2007.
DOI : 10.1145/1284480.1284558

A. C. Beck-filho, J. C. Mattos, F. R. Wagner, and L. Carro, CACO-PS: a General purpose Cycle-accurate Configurable Power Simulator, Proceedings of 16th Symposium on Integrated Circuits and Systems Design, pp.349-354, 2003.

H. Wang, X. Zhu, L. Peh, and S. Malik, Orion: a Power-Performance Simulator for Interconnection Networks, Proceedings of 35 th International Symposium on Microarchitecture (MICRO), pp.294-305, 2002.

S. Wilton and N. Jouppi, CACTI: an enhanced cache access and cycle time model, IEEE Journal of Solid-State Circuits, vol.31, issue.5, pp.677-688, 1996.
DOI : 10.1109/4.509850

E. Carara, A. Mello, and F. Moraes, Communication Models in Networks-on-Chip, 18th IEEE/IFIP International Workshop on Rapid System Prototyping (RSP '07), pp.57-60, 2007.
DOI : 10.1109/RSP.2007.17

S. Mahadevan, F. Angiolini, M. Storgaard, R. G. Olsen, J. Sparso et al., A Network Traffic Generator Model for Fast Network-on-Chip Simulation, Proceedings of the Design, Automation and Test in Europe Conference, pp.780-785, 2005.
DOI : 10.1007/978-1-4020-6488-3_13

URL : https://hal.archives-ouvertes.fr/hal-00181209

R. Kumar, K. I. Farkas, N. P. Jouppi, P. Ranganathan, and D. M. Tullsen, Single-ISA heterogeneous multi-core architectures: the potential for processor power reduction, 22nd Digital Avionics Systems Conference. Proceedings (Cat. No.03CH37449), 2003.
DOI : 10.1109/MICRO.2003.1253185

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.10.1235

N. Dutt, Memory-aware NoC Exploration and Design, Proceedings of the Design, Automation and Test in Europe, pp.1128-1129, 2008.
DOI : 10.1109/date.2008.4484829

URL : http://citeseerx.ist.psu.edu/viewdoc/summary?doi=10.1.1.629.8302