K. Bailey, L. Ceze, S. D. Gribble, and H. M. Levy, Operating system implications of fast, cheap, non-volatile memory, HotOS 2011 : 13th USENIX conference on Hot topics in Operating Systems, 2011.

D. Balsamo, A. S. Weddell, G. V. Merrett, M. Bashir, D. Al-hashimi et al., Hibernus: Sustaining Computation During Intermittent Supply for Energy-Harvesting Systems, IEEE Embedded Systems Letters, vol.7, issue.1, p.2015
DOI : 10.1109/LES.2014.2371494

URL : https://eprints.soton.ac.uk/371787/1/hibernus.pdf

S. Bartling, S. Khanna, M. Clinton, S. R. Summerfelt, J. A. Rodriguez et al., An 8mhz 75ua/mhz zero-leakage non-volatile logic-based cortex-m0 mcu soc exhibiting 100-percent digital state retention at vdd=0v with <400ns wakeup and sleep transitions, ISSCC 2013 : IEEE International Solid-State Circuits Conference, pp.432-433, 2013.
DOI : 10.1109/isscc.2013.6487802

G. Berthou, T. Delizy, K. Marquet, T. Risset, and G. Salagnac, Peripheral state persistence for transiently-powered systems, 2017 Global Internet of Things Summit (GIoTS), 2017.
DOI : 10.1109/GIOTS.2017.8016243

URL : https://hal.archives-ouvertes.fr/hal-01460699

A. Dunkels, B. Gronvall, and T. Voigt, Contiki - a lightweight and flexible operating system for tiny networked sensors, 29th Annual IEEE International Conference on Local Computer Networks, 2004.
DOI : 10.1109/LCN.2004.38

F. Guan, L. Peng, L. Perneel, and M. Timmerman, Open source FreeRTOS as a case study in real-time operating system evolution, Journal of Systems and Software, vol.118, 2016.
DOI : 10.1016/j.jss.2016.04.063

H. Jayakumar, K. Lee, S. Woo, A. Lee, Y. Raha et al., Powering the internet of things, Proceedings of the 2014 international symposium on Low power electronics and design, ISLPED '14, 2014.
DOI : 10.1145/2627369.2631644

H. Jayakumar, A. Raha, and V. Raghunathan, QUICKRECALL: A Low Overhead HW/SW Approach for Enabling Computations across Power Cycles in Transiently Powered Computers, 2014 27th International Conference on VLSI Design and 2014 13th International Conference on Embedded Systems, pp.330-335, 2014.
DOI : 10.1109/VLSID.2014.63

Y. Lee, S. Bang, I. Lee, Y. Kim, G. Kim et al., A modular 1 mm 3 die-stacked sensing platform with low power I2C interdie communication and multi-modal energy harvesting, IEEE Journal of Solid-State Circuits, vol.48, issue.1, p.2013

Y. Liu, Z. Li, H. Li, Y. Wang, X. Li et al., Ambient energy harvesting nonvolatile processors, Proceedings of the 52nd Annual Design Automation Conference on, DAC '15, pp.1-150, 2015.
DOI : 10.7873/DATE.2015.0357

K. Ma, Y. Zheng, S. Li, K. Swaminathan, X. Li et al., Architecture exploration for ambient energy harvesting nonvolatile processors, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA), pp.526-537, 2015.
DOI : 10.1109/HPCA.2015.7056060

B. Ransford and B. Lucia, Nonvolatile memory is a broken time machine, Proceedings of the workshop on Memory Systems Performance and Correctness, MSPC '14, 2014.
DOI : 10.1145/2618128.2618136