K. Arvind and R. S. Nikhil, Executing a program on the MIT tagged-token dataflow architecture, IEEE Transactions on Computers, vol.39, issue.3, pp.300-318, 1990.
DOI : 10.1109/12.48862

P. Van-emde-boas, Machine models and simulations. Handbook of Theoretical Computer Science A, pp.1-66, 2014.

W. J. Bouknight, S. A. Denenberg, and D. E. Mcintyre, The Illiac IV system, Proceedings of the IEEE, pp.369-388, 1972.
DOI : 10.1109/PROC.1972.8647

R. D. Blumofe, C. F. Joerg, and B. C. Kuszmaul, Cilk: An Efficient Multithreaded Runtime System, Journal of Parallel and Distributed Computing, vol.37, issue.1, pp.55-69, 1996.
DOI : 10.1006/jpdc.1996.0107

C. Chen, J. B. Manzano, and G. Gan, A Study of a Software Cache Implementation of the OpenMP Memory Model for Multicore and Manycore Architectures, European Conference on Parallel Processing, pp.341-352, 2010.
DOI : 10.1147/sj.451.0059

D. E. Culler, R. M. Karp, and D. Patterson, LogP: a practical model of parallel computation, Communications of the ACM, vol.39, issue.11, pp.78-85, 1996.
DOI : 10.1145/240455.240477

J. B. Dennis, Programming generality, parallelism and computer architecture. Information processing 68, pp.484-492, 1969.

J. B. Dennis, First version of a data flow procedure language, In: Programming Symposium, issue.19, pp.362-376, 1974.
DOI : 10.1007/3-540-06859-7_145

J. B. Dennis, A parallel program execution model supporting modular software construction, Proceedings. Third Working Conference on Massively Parallel Programming Models (Cat. No.97TB100228), pp.50-60, 1997.
DOI : 10.1109/MPPM.1997.715961

URL : http://www.csg.csail.mit.edu/Users/dennis/mppm-97.pdf

J. B. Dennis and G. R. Gao, An efficient pipelined dataflow processor architecture, Proceedings. SUPERCOMPUTING '88, pp.368-373, 1988.
DOI : 10.1109/SUPERC.1988.44674

J. B. Dennis and D. P. Misunas, A preliminary architecture for a basic data-flow computer, Proceedings of the 2nd Annual Symposium on Computer Architecture, pp.126-132, 1975.
DOI : 10.1145/642089.642111

URL : http://www.cs.utexas.edu/users/dburger/teaching/cs395t-s08/papers/4_dennis.pdf

J. B. Dennis, Fresh Breeze, ACM SIGARCH Computer Architecture News, vol.31, issue.1, pp.7-15, 2003.
DOI : 10.1145/773365.773367

J. B. Dennis, J. B. Fosseen, and J. P. Linderman, Data flow schemas, International Symposium on Theoretical Programming, pp.187-216, 1974.
DOI : 10.1007/3-540-06720-5_15

J. B. Dennis and E. C. Van-horn, Programming semantics for multiprogrammed computations, Communications of the ACM, vol.9, issue.3, pp.143-155, 1966.
DOI : 10.1145/365230.365252

URL : http://www.lcs.mit.edu/publications/pubs/ps/tr-23.ps

M. Dubois, C. Scheurich, and F. Briggs, Memory access buffering in multiprocessors, ACM SIGARCH Computer Architecture News, vol.14, issue.2, pp.434-442, 1986.
DOI : 10.1145/17356.17406

URL : http://mprc.pku.cn/mentors/training/ISCAreading/1986/p434-dubois/p434-dubois.pdf

J. P. Eckert-jr and J. W. Mauchly, Automatic high-speed computing: a progress report on the EDVAC, 1945.

G. R. Gao, An Efficient Hybrid Dataflow Architecture Model, Journal of Parallel and Distributed Computing, vol.19, issue.4, pp.293-307, 1993.
DOI : 10.1006/jpdc.1993.1113

G. R. Gao, H. H. Hum, and J. M. Monti, Towards an efficient hybrid dataflow architecture model, Proceedings of Parle'91 Parallel Architectures and Languages Europe, pp.355-371, 1991.
DOI : 10.1007/bfb0035115

G. R. Gao, R. Tio, and H. H. Hum, Design of an efficient dataflow architecture without data flow, Proceedings of the International Conference on Fifth Generation Computer Systems, p.1988, 1988.
DOI : 10.1006/jpdc.1993.1113

G. R. Gao and V. Sarkar, Location consistency-a new memory model and cache consistency protocol, IEEE Transactions on Computers, vol.49, issue.8, pp.798-813, 2000.
DOI : 10.1109/12.868026

URL : ftp://ftp.capsl.udel.edu/pub/doc/memos/memo016.ps.gz

G. R. Gao, J. Suetterlein, and S. Zuckerman, Toward an execution model for extremescale systems-runnemede and beyond, 2011.

E. Garcia, D. Orozco, and G. R. Gao, Energy efficient tiling on a Many-Core Architecture, Proceedings of 4th Workshop on Programmability Issues for Heterogeneous Multicores 6th International Conference on High-Performance and Embedded Architectures and Compilers, pp.53-66, 2011.

K. Gharachorloo, D. Lenoski, and J. Laudon, Memory consistency and event ordering in scalable shared-memory multiprocessors, Proceedings of the 25th International Symposium on Computer Architecture, pp.376-387, 1998.
DOI : 10.1145/285930.285997

URL : ftp://www-flash.stanford.edu/pub/flash/ISCA90.ps.Z

A. Hemmerling, Systeme von Turing-Automaten und Zellularräume auf rahmbaren pseudomustermengen, Elektronische Informationsverarbeitung und Kybernetik, vol.15, issue.12, pp.47-72, 1979.

J. L. Hennessy and D. A. Patterson, Computer architecture: a quantitative approach, 2011.

H. H. Humy, O. Maquelin, and K. B. Theobald, A design study of the EARTH multiprocessor, Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, PACT 1995, pp.59-68, 1995.

R. A. Iannucci, Toward a dataflow, pp.131-140, 1988.

T. Ito, Synchronized alternation and parallelism for three-dimensional automata, 2008.

T. Ito, M. Sakamoto, and A. Taniue, Parallel Turing machines on four-dimensional input tapes, Artificial Life and Robotics, vol.77, issue.6, pp.212-215, 2010.
DOI : 10.1007/s10015-010-0798-3

K. Kennedy, Is parallel computing dead

L. Lamport, Time, clocks, and the ordering of events in a distributed system, Communications of the ACM, vol.21, issue.7, pp.558-565, 1978.
DOI : 10.1145/359545.359563

C. Lauderdale and R. Khan, Towards a codelet-based runtime for exascale computing, Proceedings of the 2nd International Workshop on Adaptive Self-Tuning Computing Systems for the Exaflop Era, EXADAPT '12, pp.21-26, 2012.
DOI : 10.1145/2185475.2185478

E. Lee, The Problem with Threads, Computer, vol.39, issue.5, pp.33-42, 2006.
DOI : 10.1109/MC.2006.180

T. Mattson, R. Cledat, and Z. Budimlic, OCR: The open community runtime interface version 1.1, 2015.

J. Mccarthy, LISP 1.5 programmer's manual, 1965.

K. Okinaka, K. Inoue, and A. Ito, A note on hardware-bounded parallel Turing machines, Proceedings of the 2nd international conference on information, pp.90-100, 2002.

A. Turing, On computable numbers, with an application to the Entscheidungsproblem, Proceedings of the London Mathematical Society, pp.230-265, 1936.

L. G. Valiant, A bridging model for parallel computation, Communications of the ACM, vol.33, issue.8, pp.103-111, 1990.
DOI : 10.1145/79173.79181

V. Neumann, J. Godfrey, and M. D. , First Draft of a Report on the EDVAC, IEEE Annals of the History of Computing, vol.15, issue.4, pp.27-75, 1993.
DOI : 10.1007/978-3-642-61812-3_30

J. Wiedermann, Parallel Turing machines, Research Report, 1984.

N. Wirth, The programming language pascal, Acta Informatica, vol.9, issue.1, pp.35-63, 1971.
DOI : 10.1007/BF00264291

N. Wirth, Modula: A language for modular multiprogramming, Software: Practice and Experience, vol.7, issue.1, pp.3-35, 1977.
DOI : 10.1002/spe.4380070102

S. Zuckerman, J. Suetterlein, and R. Knauerhase, Using a "codelet" program execution model for exascale machines, Proceedings of the 1st International Workshop on Adaptive Self-Tuning Computing Systems for the Exaflop Era, EXADAPT '11, pp.64-69, 2011.
DOI : 10.1145/2000417.2000424