L. Mcvoy and C. Staelin, Lmbench: Portable tools for performance analysis, USENIX Annual Technical Conference, pp.23-23, 1996.

D. F. Bacon, S. L. Graham, and O. J. Sharp, Compiler transformations for high-performance computing, ACM Computing Surveys, vol.26, issue.4, pp.345-420, 1994.
DOI : 10.1145/197405.197406

C. W. Smullen, V. Mohan, A. Nigam, S. Gurumurthi, and M. R. Stan, Relaxing non-volatility for fast and energy-efficient STT-RAM caches, 2011 IEEE 17th International Symposium on High Performance Computer Architecture, 2011.
DOI : 10.1109/HPCA.2011.5749716

K. Ikegami, H. Noguchi, C. Kamata, M. Amano, K. Abe et al., A 4ns, 0.9v write voltage embedded perpendicular sttmram fabricated by mtj-last process, pp.1-2

H. Noguchi, K. Ikegami, K. Kushida, K. Abe, S. Itai et al., 7.5 a 3.3ns-access-time 71.2w/mhz 1mb embedded stt-mram using physically eliminated read-disturb scheme and normally-off memory architecture, pp.1-3

Q. Li, L. Shi, J. Li, C. J. Xue, and Y. He, Code Motion for Migration Minimization in STT-RAM Based Hybrid Cache, 2012 IEEE Computer Society Annual Symposium on VLSI, 2012.
DOI : 10.1109/ISVLSI.2012.84

Q. Li, J. Li, L. Shi, C. J. Xue, and Y. He, MAC, Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design, ISLPED '12, 2012.
DOI : 10.1145/2333660.2333738

URL : https://hal.archives-ouvertes.fr/hal-00529679

J. Hu, C. J. Xue, Q. Zhuge, W. Tseng, and E. H. Sha, Data Allocation Optimization for Hybrid Scratch Pad Memory With SRAM and Nonvolatile Memory, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.21, issue.6, 2013.
DOI : 10.1109/TVLSI.2012.2202700

P. Zhou, B. Zhao, J. Yang, and Y. Zhang, Energy reduction for STT-RAM using early write termination, Proceedings of the 2009 International Conference on Computer-Aided Design, ICCAD '09, 2009.
DOI : 10.1145/1687399.1687448

C. W. Smullen, V. Mohan, A. Nigam, S. Gurumurthi, and M. R. Stan, Relaxing non-volatility for fast and energy-efficient STT-RAM caches, 2011 IEEE 17th International Symposium on High Performance Computer Architecture, 2011.
DOI : 10.1109/HPCA.2011.5749716

K. M. Lepak and M. H. Lipasti, On the value locality of store instructions, Int. Symp. on Computer Architecture (ISCA), 2000.

R. Wilhelm, J. Engblom, A. Ermedahl, N. Holsti, S. Thesing et al., The worst-case execution-time problem???overview of methods and survey of tools, ACM Transactions on Embedded Computing Systems, vol.7, issue.3, pp.1-36, 2008.
DOI : 10.1145/1347375.1347389

K. M. Lepak, G. B. Bell, and M. H. Lipasti, Silent stores and store value locality, IEEE Transactions on Computers, vol.50, issue.11, 2001.
DOI : 10.1109/12.966493

S. Che, J. W. Sheaffer, M. Boyer, L. G. Szafaryn, L. Wang et al., A characterization of the Rodinia benchmark suite with comparison to contemporary CMP workloads, IEEE International Symposium on Workload Characterization (IISWC'10), 2010.
DOI : 10.1109/IISWC.2010.5650274

T. Delobelle, P. Péneau, A. Gamatié, F. Bruguier, S. Senni et al., MAGPIE: System-level Evaluation of Manycore Systems with Emerging Memory Technologies, Workshop on Emerging Memory Solutions -Technology, Manufacturing, Architectures, Design and Test at Design Automation and Test in Europe (DATE), 2017.
URL : https://hal.archives-ouvertes.fr/lirmm-01467328

X. Wu, J. Li, L. Zhang, E. Speight, and Y. Xie, Power and performance of read-write aware hybrid caches with non-volatile memories, Design, Automation & Test in Europe Conf. & Exhibition (DATE), 2009.

J. Li, C. J. Xue, and Y. Xu, STT-RAM based energy-efficiency hybrid cache for CMPs, 2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip, 2011.
DOI : 10.1109/VLSISoC.2011.6081626

W. Cheng, Y. Ciou, and P. Shen, Architecture and data migration methodology for L1 cache design with hybrid SRAM and volatile STT-RAM configuration, Microprocessors and Microsystems, vol.42, 2016.
DOI : 10.1016/j.micpro.2015.11.005

Q. Li, MGC: Multiple graph-coloring for non-volatile memory based hybrid Scratchpad Memory, 2012 16th Workshop on Interaction between Compilers and Computer Architectures (INTERACT), 2012.
DOI : 10.1109/INTERACT.2012.6339622

A. Seznec and P. Michaud, A case for (partially) TAgged GEometric history length branch prediction, Journal of Instruction Level Parallelism, vol.8, 2006.

Q. Xu, T. Mytkowicz, and N. S. Kim, Approximate Computing: A Survey, IEEE Design & Test, vol.33, issue.1, pp.8-22, 2016.
DOI : 10.1109/MDAT.2015.2505723

Z. Sun, Multi retention level STT-RAM cache designs with a dynamic refresh scheme, Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-44 '11, pp.329-338, 2011.
DOI : 10.1145/2155620.2155659