C. Alias, F. Baray, and A. Darte, Bee+Cl@k: An implementation of lattice-based array contraction in the source-to-source translator Rose, ACM Conf. on Languages, Compilers, and Tools for Embedded Systems (LCTES'07), 2007.

C. Alias, A. Darte, P. Feautrier, and L. Gonnord, Multi-dimensional Rankings, Program Termination, and Complexity Bounds of Flowchart Programs, International Static Analysis Symposium (SAS'10), 2010.
DOI : 10.1007/978-3-642-15769-1_8

URL : https://hal.archives-ouvertes.fr/inria-00523298

C. Alias, A. Darte, and A. Plesco, Optimizing remote accesses for offloaded kernels: Application to high-level synthesis for FPGA, ACM SIGDA Intl. Conference on Design, Automation and Test in Europe (DATE'13), 2013.
DOI : 10.7873/date.2013.127

URL : https://hal.archives-ouvertes.fr/hal-00761477

C. Alias and A. Plesco, Data-aware Process Networks, Research Report RR, vol.8735, 2015.
URL : https://hal.archives-ouvertes.fr/hal-01158726

C. Alias and A. Plesco, Optimizing Affine Control With Semantic Factorizations, ACM Transactions on Architecture and Code Optimization, vol.14, issue.4, p.27, 2017.
DOI : 10.1109/CODES-ISSS.2013.6659002

URL : https://hal.archives-ouvertes.fr/hal-01470873

C. Bastoul, Efficient code generation for automatic parallelization and optimization, Second International Symposium on Parallel and Distributed Computing, 2003. Proceedings., pp.13-14, 2003.
DOI : 10.1109/ISPDC.2003.1267639

URL : http://www.lri.fr/~bastoul/research/papers/Bas03-ISPDC.pdf

U. Bondhugula, A. Hartono, J. Ramanujam, and P. Sadayappan, A practical automatic polyhedral parallelizer and locality optimizer, Proceedings of the ACM SIGPLAN 2008 Conference on Programming Language Design and Implementation, pp.101-113, 2008.
DOI : 10.1145/1379022.1375595

URL : http://www.cse.ohio-state.edu/~bondhugu/publications/uday-pldi08.pdf

A. Bruno-da-silva, . Braeken, H. Erik, A. Hollander, and . Touhafi, Performance modeling for fpgas: extending the roofline model with high-level synthesis tools, International Journal of Reconfigurable Computing, 2013.

P. Feautrier, Dataflow analysis of array and scalar references, International Journal of Parallel Programming, vol.24, issue.4, pp.23-53, 1991.
DOI : 10.1145/360827.360844

URL : http://www.prism.uvsq.fr/public/paf/dataflow.ps

W. Kelly, V. Maslov, W. Pugh, E. Rosser, T. Shpeisman et al., The omega calculator and library, version 1.1. 0, p.18, 1996.

L. Pouchet, Polybench: The polyhedral benchmark suite URL: http://www. cs. ucla, 2012.

F. Quilleré, S. Rajopadhye, and D. Wilde, Generation of efficient nested loops from polyhedra, International Journal of Parallel Programming, vol.28, issue.5, pp.469-498, 2000.
DOI : 10.1023/A:1007554627716

A. Turjan, Compiling nested loop programs to process networks, 2007.
DOI : 10.1145/1023833.1023864

URL : http://ptolemy.eecs.berkeley.edu/~kienhuis/ftp/cases04.pdf

A. Turjan, B. Kienhuis, and E. Deprettere, Realizations of the extended linearization model. Domain-specific processors: systems, architectures, modeling, and simulation, pp.171-191, 2002.

A. Turjan, B. Kienhuis, and E. Deprettere, Classifying interprocess communication in process network representation of nested-loop programs, ACM Transactions on Embedded Computing Systems, vol.6, issue.2, p.13, 2007.
DOI : 10.1145/1234675.1234680

S. Van, H. , and B. Kienhuis, Enabling automatic pipeline utilization improvement in polyhedral process network implementations, Application-Specific Systems, Architectures and Processors (ASAP), 2012 IEEE 23rd International Conference on, pp.173-176, 2012.

S. Verdoolaege, isl: An Integer Set Library for the Polyhedral Model, ICMS, pp.299-302, 2010.
DOI : 10.1007/978-3-642-15582-6_49

S. Verdoolaege, Handbook of Signal Processing Systems, Polyhedral Process Networks, pp.931-965, 2010.

. Zissulescu, . Turjan, E. Kienhuis, and . Deprettere, Solving out of order communication using cam memory; an implementation, 13th Annual Workshop on Circuits, Systems and Signal Processing, 2002.