S. P. Muralidhara, L. Subramanian, O. Mutlu, M. Kandemir, and T. Moscibroda, Reducing memory interference in multicore systems via application-aware memory channel partitioning, Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture, MICRO-44 '11, pp.374-385, 2011.
DOI : 10.1145/2155620.2155664

D. Lo, L. Cheng, R. Govindaraju, P. Ranganathan, and C. Kozyrakis, Improving Resource Efficiency at Scale with Heracles, ACM Transactions on Computer Systems, vol.34, issue.2, 2016.
DOI : 10.1109/MICRO.2014.53

URL : http://dl.acm.org/ft_gateway.cfm?id=2882783&type=pdf

E. Strohmaier, The top500 benchmark (2017) https://www

P. Computing, Zettascaler-2.0 configurable liquid immersion cooling system, 2017.

J. Leverich and C. Kozyrakis, Reconciling high server utilization and submillisecond quality-of-service, 9th European Conf. on Computer Systems, 2014.

S. Zhuravlev, S. Blagodurov, and A. Fedorova, Addressing shared resource contention in multicore processors via scheduling, ACM SIGPLAN Notices, vol.45, issue.3, pp.129-142, 2010.
DOI : 10.1145/1735971.1736036

Y. Zhang, M. A. Laurenzano, J. Mars, and L. Tang, SMiTe: Precise QoS Prediction on Real-System SMT Processors to Improve Utilization in Warehouse Scale Computers, 2014 47th Annual IEEE/ACM International Symposium on Microarchitecture, pp.406-418, 2014.
DOI : 10.1109/MICRO.2014.53

B. D. Bui, M. Caccamo, L. Sha, and J. Martinez, Impact of Cache Partitioning on Multi-tasking Real Time Embedded Systems, 2008 14th IEEE International Conference on Embedded and Real-Time Computing Systems and Applications, pp.101-110, 2008.
DOI : 10.1109/RTCSA.2008.42

K. Tian, Y. Jiang, and X. Shen, A study on optimally co-scheduling jobs of different lengths on chip multiprocessors, Proceedings of the 6th ACM conference on Computing frontiers, CF '09, pp.41-50, 2009.
DOI : 10.1145/1531743.1531752

K. T. Nguyen, Introduction to Cache Allocation Technology in the Intel® Xeon® Processor E5 v4 Family (Febru- ary 2016) https://software.intel.com/en-us/articles/ introduction-to-cache-allocation-technology

C. Sewell, Large-scale compute-intensive analysis via a combined in-situ and co-scheduling workflow approach, Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis on, SC '15, p.15, 2015.
DOI : 10.1145/2535571.2535592

A. C. Bauer, H. Abbasi, J. Ahrens, H. Childs, B. Geveci et al., Methods, Infrastructures, and Applications on High Performance Computing Platforms, situ methods, infrastructures, and applications on high performance computing platforms, pp.577-597, 2016.
DOI : 10.1145/2110205.2110210

P. Malakar, V. Vishwanath, T. Munson, C. Knight, M. Hereld et al., Optimal scheduling of in-situ analysis for largescale scientific simulations, Proc. of the Int. Conf. for High Performance Computing, Networking, Storage and Analysis, p.15, 2015.

M. Dreher and B. Raffin, A Flexible Framework for Asynchronous in Situ and in Transit Analytics for Scientific Simulations, 2014 14th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, 2014.
DOI : 10.1109/CCGrid.2014.92

URL : https://hal.archives-ouvertes.fr/hal-00941413

S. Bao, Y. Huo, P. Parvathaneni, A. J. Plassard, C. Bermudez et al., A data colocation grid framework for big data medical image processing-backend design. arXiv preprint, 2017.

S. Zhuravlev, J. C. Saez, S. Blagodurov, A. Fedorova, and M. Prieto, Survey of scheduling techniques for addressing shared resources in multicore processors, ACM Computing Surveys, vol.45, issue.1, 2012.
DOI : 10.1145/2379776.2379780

S. Kim, D. Chandra, and Y. Solihin, Fair cache sharing and partitioning in a chip multiprocessor architecture, Proceedings of the 13th International Conference on Parallel Architectures and Compilation Techniques, pp.111-122, 2004.

M. K. Qureshi and Y. N. Patt, Utility-Based Cache Partitioning: A Low-Overhead, High-Performance, Runtime Mechanism to Partition Shared Caches, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06), pp.423-432, 2006.
DOI : 10.1109/MICRO.2006.49

K. J. Nesbit, J. Laudon, and J. E. Smith, Virtual private caches, ACM SIGARCH Computer Architecture News, vol.35, issue.2, pp.57-68, 2007.
DOI : 10.1145/1273440.1250671

G. Taylor, P. Davies, and M. Farmwald, The tlb slice-a low-cost highspeed address translation mechanism, Proceedings., 17th Annual International Symposium on, pp.355-363, 1990.

D. Tam, R. Azimi, L. Soares, and M. Stumm, Managing shared l2 caches on multicore systems in software, Workshop on the Interaction between Operating Systems and Computer Architecture, pp.26-33, 2007.

J. Lin, Q. Lu, X. Ding, Z. Zhang, X. Zhang et al., Gaining insights into multicore cache partitioning: Bridging the gap between simulation and real systems, High Performance Computer Architecture IEEE 14th International Symposium on, pp.367-378, 2008.

N. Guan, M. Stigge, W. Yi, and G. Yu, Cache-aware scheduling and analysis for multicores, Proceedings of the seventh ACM international conference on Embedded software, EMSOFT '09, pp.245-254, 2009.
DOI : 10.1145/1629335.1629369

A. Hartstein, V. Srinivasan, T. Puzak, and P. Emma, On the nature of cache miss behavior: Is it ? 2, The Journal of Instruction-Level Parallelism, vol.10, pp.1-22, 2008.

G. Aupy, A. Benoit, S. Dai, L. Pottier, P. Raghavan et al., Co-scheduling Amdahl applications on cache-partitioned systems, The International Journal of High Performance Computing Applications, vol.10, issue.1, pp.123-138, 2018.
DOI : 10.1109/MICRO.2014.53

URL : https://hal.archives-ouvertes.fr/hal-01461157

G. Amdahl, Validity of the single processor approach to achieving large scale computing capabilities, Proceedings of the April 18-20, 1967, spring joint computer conference on, AFIPS '67 (Spring), pp.483-485, 1967.
DOI : 10.1145/1465482.1465560

A. Krishna, A. Samih, and Y. Solihin, Data sharing in multi-threaded applications and its impact on chip design, 2012 IEEE International Symposium on Performance Analysis of Systems & Software, pp.125-134, 2012.
DOI : 10.1109/ISPASS.2012.6189219

B. M. Rogers, A. Krishna, G. B. Bell, K. Vu, X. Jiang et al., Scaling the bandwidth wall, ACM SIGARCH Computer Architecture News, vol.37, issue.3, pp.371-382, 2009.
DOI : 10.1145/1555815.1555801

S. Browne, J. Dongarra, N. Garner, G. Ho, and P. Mucci, A Portable Programming Interface for Performance Evaluation on Modern Processors, The International Journal of High Performance Computing Applications, vol.14, issue.3, pp.189-204, 2000.
DOI : 10.1177/109434200001400303

D. H. Bailey, The NAS parallel benchmarks---summary and preliminary results, Proceedings of the 1991 ACM/IEEE conference on Supercomputing , Supercomputing '91, 1991.
DOI : 10.1145/125826.125925