J. W. Liu, W. Shih, K. Lin, R. Bettati, and J. Chung, Imprecise computations, Proc. IEEE, pp.83-94, 1994.
DOI : 10.1109/5.259428

URL : http://b2.cvl.iis.u-tokyo.ac.jp/mva/proceedings/2007CD/papers/13-04.pdf

A. K. Singh, M. Shafique, A. Kumar, and J. Henkel, Mapping on multi/many-core systems, Proceedings of the 50th Annual Design Automation Conference on, DAC '13, pp.1-10, 2013.
DOI : 10.1145/2463209.2488734

I. Mendez-diaz, J. Orozco, R. Santos, and P. Zabala, Energy-aware scheduling mandatory/optional tasks in multicore real-time systems, International Transactions in Operational Research, vol.90, issue.10, pp.173-198, 2017.
DOI : 10.1016/j.jss.2013.12.032

D. Li and J. Wu, Minimizing Energy Consumption for Frame-Based Tasks on Heterogeneous Multiprocessor Platforms, IEEE Transactions on Parallel and Distributed Systems, vol.26, issue.3, pp.810-823, 2015.
DOI : 10.1109/TPDS.2014.2313338

G. Chen, K. Huang, and A. Knoll, Energy optimization for real-time multiprocessor system-on-chip with optimal DVFS and DPM combination, ACM Transactions on Embedded Computing Systems, vol.13, issue.3s, pp.1-111, 2014.
DOI : 10.1145/513918.513966

URL : http://mediatum.ub.tum.de/doc/1281531/document.pdf

Y. Zhang, Y. Wang, and H. Wang, Energy-efficient task scheduling for DVFS-enabled heterogeneous computing systems using a linear programming approach, 2016 IEEE 35th International Performance Computing and Communications Conference (IPCCC), pp.1-8, 2016.
DOI : 10.1109/PCCC.2016.7820647

A. Mahmood, S. A. Khan, F. Albalooshi, and N. Awwad, Energyaware real-time task scheduling in multiprocessor systems using a hybrid genetic algorithm, Electron, vol.6, issue.2, 2017.
DOI : 10.3390/electronics6020040

URL : http://www.mdpi.com/2079-9292/6/2/40/pdf

A. Emeretlis, G. Theodoridis, P. Alefragis, and N. Voros, A Logic-Based Benders Decomposition Approach for Mapping Applications on Heterogeneous Multicore Platforms, ACM Transactions on Embedded Computing Systems, vol.15, issue.1, pp.1-1928
DOI : 10.1109/71.993206

A. Davare, J. Chong, Q. Zhu, D. M. Densmore, and A. L. Sangiovanni-vincentelli, Classification, customization, and characterization: using MILP for task allocation and scheduling, 2006.

L. F. Leung, C. Y. Tsui, and W. H. Ki, Simultaneous task allocation, scheduling and voltage assignment for multiple-processors-core systems using mixed integer nonlinear programming, Proc. IEEE ISCAS, pp.309-312, 2003.

S. A. Ishak, H. Wu, and U. U. Tariq, Energy-Aware Task Scheduling on Heterogeneous NoC-Based MPSoCs, 2017 IEEE International Conference on Computer Design (ICCD), pp.165-168, 2017.
DOI : 10.1109/ICCD.2017.33

S. Tosun, Energy- and reliability-aware task scheduling onto heterogeneous MPSoC architectures, The Journal of Supercomputing, vol.52, issue.12, pp.265-289, 2012.
DOI : 10.1145/337292.337436

P. Zhou and W. Zheng, An Efficient Biobjective Heuristic for Scheduling Workflows on Heterogeneous DVS-Enabled Processors, Journal of Applied Mathematics, vol.73, issue.12, pp.1-15, 2014.
DOI : 10.1109/71.80160

URL : http://doi.org/10.1155/2014/370917

L. A. Cortes, P. Eles, and Z. Peng, Quasi-Static Assignment of Voltages and Optional Cycles in Imprecise-Computation Systems With Energy Considerations, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.14, issue.10, pp.1117-1129, 2006.
DOI : 10.1109/TVLSI.2006.884152

C. Rusu, R. Melhem, and D. Mossé, Maximizing rewards for real-time applications with energy constraints, ACM Transactions on Embedded Computing Systems, vol.2, issue.4, pp.537-559, 2003.
DOI : 10.1145/950162.950166

URL : http://www.cs.pitt.edu/PARTS/papers/Rusu-RT_Rewards.ps

H. Yu, B. Veeravalli, and Y. Ha, Dynamic scheduling of imprecisecomputation tasks in maximizing QoS under energy constraints for embedded systems, Proc. IEEE ASP-DAC, pp.452-455, 2008.

J. Zhou, J. Yan, T. Wei, M. Chen, and X. S. Hu, Energy-adaptive scheduling of imprecise computation tasks for QoS optimization in realtime MPSoC systems, Proc. IEEE DATE, pp.1402-1407, 2017.
DOI : 10.23919/date.2017.7927212

T. Wei, J. Zhou, K. Cao, P. Cong, M. Chen et al., Cost-constrained qos optimization for approximate computation real-time tasks in heterogeneous MPSoCs Decomposed task mapping to maximize QoS in energy-constrained real-time multicores, Proc. IEEE ICCD, pp.1-14, 2017.
DOI : 10.1109/tcad.2017.2772896

H. Yu, B. Veeravalli, Y. Ha, and S. Luo, Dynamic Scheduling of Imprecise-Computation Tasks on Real-Time Embedded Multiprocessors, 2013 IEEE 16th International Conference on Computational Science and Engineering, pp.770-777, 2013.
DOI : 10.1109/CSE.2013.118

M. Micheletto, R. Santos, and J. Orozco, Using bioinspired metaheuristics to solve reward-based energy-aware mandatory/optional realtime tasks scheduling, Proc. IEEE SBESC, pp.132-135, 2015.
DOI : 10.1109/sbesc.2015.32

S. M. Martin, K. Flautner, T. Mudge, and D. Blaauw, Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads, Proc. IEEE ICCAD, pp.721-725, 2002.
DOI : 10.1145/774572.774678

URL : http://www.eecs.umich.edu/eecs/cse/honors/pdfs/01167611.pdf

R. Jejurikar, C. Pereira, and R. Gupta, Leakage aware dynamic voltage scaling for real-time embedded systems, Proceedings of the 41st annual conference on Design automation , DAC '04, pp.275-280, 2004.
DOI : 10.1145/996566.996650

URL : http://www.cs.york.ac.uk/rts/docs/SIGDA-Compendium-1994-2004/papers/2004/dac04/pdffiles/p275.pdf

S. Burer and A. N. Letchford, Non-convex mixed-integer nonlinear programming: A survey, Surveys in Operations Research and Management Science, vol.17, issue.2, pp.97-106, 2012.
DOI : 10.1016/j.sorms.2012.08.001

URL : http://www.lancaster.ac.uk/staff/letchfoa/articles/minlp-survey.pdf

T. Chantem, X. S. Hu, and R. P. Dick, Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.19, issue.10, pp.1884-1897, 2011.
DOI : 10.1109/TVLSI.2010.2058873

URL : http://www.cse.nd.edu/Reports/2009/TR-2009-06.pdf

J. F. Benders, Partitioning procedures for solving mixed-variables programming problems, Numerische Mathematik, vol.38, issue.1, pp.238-252, 1962.
DOI : 10.1007/BF01386316

L. P. Qian, Y. J. Zhang, Y. Wu, and J. Chen, Joint Base Station Association and Power Control via Benders' Decomposition, IEEE Transactions on Wireless Communications, vol.12, issue.4, pp.1651-1665, 2013.
DOI : 10.1109/TWC.2013.022113.120470

S. Boyd and L. Vandenberghe, Convex optimization, 2004.

D. Mcdaniel and M. Devine, A Modified Benders' Partitioning Algorithm for Mixed Integer Programming, Management Science, vol.24, issue.3, pp.312-319, 1977.
DOI : 10.1287/mnsc.24.3.312

C. H. Papadimitriou, On the complexity of integer programming, Journal of the ACM, vol.28, issue.4, pp.765-768, 1981.
DOI : 10.1145/322276.322287

D. Mcdaniel and M. Devine, A Modified Benders' Partitioning Algorithm for Mixed Integer Programming, Management Science, vol.24, issue.3, pp.312-319, 1977.
DOI : 10.1287/mnsc.24.3.312

M. Fischetti, F. Glover, and A. Lodi, The feasibility pump, Mathematical Programming, vol.106, issue.1, pp.91-104, 2005.
DOI : 10.1007/BFb0120691

L. Chen, S. H. Low, M. Chiang, and J. C. Doyle, Cross-Layer Congestion Control, Routing and Scheduling Design in Ad Hoc Wireless Networks, Proceedings IEEE INFOCOM 2006. 25TH IEEE International Conference on Computer Communications, pp.1-13, 2006.
DOI : 10.1109/INFOCOM.2006.142

S. Joshi and S. Boyd, Sensor Selection via Convex Optimization, IEEE Transactions on Signal Processing, vol.57, issue.2, pp.451-462, 2009.
DOI : 10.1109/TSP.2008.2007095

URL : http://www.sal.ufl.edu/eel6935/2008/sensor_selection.pdf

M. Ito and M. Fukuda, A family of subgradient-based methods for convex optimization problems in a unifying framework, Optimization Methods and Software, vol.27, issue.5, pp.952-982, 2016.
DOI : 10.1007/s10107-010-0394-2

S. Boyd and J. Mattingley, Branch and bound methods, pp.1-11, 2007.

K. Pang, V. Fresse, and S. Yao, Communication-aware branch and bound with cluster-based latency-constraint mapping technique on network-on-chip, The Journal of Supercomputing, vol.39, issue.25, pp.2283-2309, 2016.
DOI : 10.1145/278241.278309

URL : https://hal.archives-ouvertes.fr/hal-01499095

C. Randazzo and H. P. Luna, A comparison of optimal methods for local access uncapacitated network design, Annals of Operations Research, vol.106, issue.1/4, pp.263-286, 2001.
DOI : 10.1023/A:1014569927266

M. N. Sayuti and L. S. Indrusiak, Real-time low-power task mapping in Networks-on-Chip, 2013 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp.14-19, 2013.
DOI : 10.1109/ISVLSI.2013.6654616