L. Torres, P. Benoit, G. Sassatelli, M. Robert, F. Clermidy et al., An Introduction to Multi-Core System on Chip ??? Trends and Challenges, Multiprocessor System-on- Chip, pp.1-21, 2010.
DOI : 10.1007/978-1-4419-6460-1_1

URL : https://hal.archives-ouvertes.fr/lirmm-00574947

I. Assayad, A. Girault, and H. Kalla, Tradeoff exploration between reliability, power consumption, and execution time for embedded systems, International Journal on Software Tools for Technology Transfer, vol.7, issue.3, pp.229-245, 2013.
DOI : 10.1145/1347375.1347389

URL : https://hal.archives-ouvertes.fr/hal-00923926

J. E. Council, Failure mechanisms and models for semiconductor devices, 2016.

H. Sheikh and I. Ahmad, Sixteen Heuristics for Joint Optimization of Performance, Energy, and Temperature in Allocating Tasks to Multi-Cores, ACM Transactions on Parallel Computing, vol.3, issue.2, pp.1-29, 2016.
DOI : 10.1162/106365600568202

A. Girault and H. Kalla, A Novel Bicriteria Scheduling Heuristics Providing a Guaranteed Global System Failure Rate, IEEE Transactions on Dependable and Secure Computing, vol.6, issue.4, pp.241-254, 2009.
DOI : 10.1109/TDSC.2008.50

URL : https://hal.archives-ouvertes.fr/hal-00746768

R. Viswanath, V. Wakharkar, A. Watwe, and V. Lebonheur, Thermal performance challenges from silicon to systems, Intel Technology Journal, vol.3, 2000.

A. Das, A. Kumar, B. Veeravalli, C. Bolchini, and A. Miele, Combined DVFS and mapping exploration for lifetime and soft-error susceptibility improvement in MPSoCs, Design, 2014.
DOI : 10.7873/date.2014.074

P. Kumar and L. Thiele, Thermally optimal stop-go scheduling of task graphs with realtime constraints, Asia and South Pacific Design Automation Conference, 2011.
DOI : 10.1109/aspdac.2011.5722170

G. Xie, Y. Chen, and X. Xiao, Energy-efficient Fault-tolerant Scheduling of Reliable Parallel Applications on Heterogeneous Distributed Embedded Systems, IEEE Transactions on Sustainable Computing, 2017.
DOI : 10.1109/TSUSC.2017.2711362

Y. Haimes, L. Lasdon, and D. Wismer, On a bicriterion formulation of the problems of integrated system identification and system optimization, IEEE Trans. Systems, Man, and Cybernetics, vol.1, pp.296-297, 1971.

M. Laumanns, L. Thiele, and E. Zitzler, An efficient, adaptive parameter variation scheme for metaheuristics based on the epsilon-constraint method, European Journal of Operational Research, vol.169, issue.3, pp.932-942, 2006.
DOI : 10.1016/j.ejor.2004.08.029

URL : http://www.tik.ee.ethz.ch/sop/publicationlistfiles/ltz2006a.pdf

Y. Xie and W. Hung, Temperature-Aware Task Allocation and Scheduling for Embedded Multiprocessor Systems-on-Chip (MPSoC) Design, The Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology, vol.6, issue.4, pp.177-189, 2006.
DOI : 10.1007/s11265-006-9760-y

URL : http://www.cse.psu.edu/~yuanxie/Papers/JVLSI2006.pdf

V. T. Kindt and J. Billaut, Multicriteria Scheduling ? Theory, Models and Algorithms, 2006.
URL : https://hal.archives-ouvertes.fr/hal-01067335

I. Das and J. Dennis, Normal-Boundary Intersection: A New Method for Generating the Pareto Surface in Nonlinear Multicriteria Optimization Problems, SIAM Journal on Optimization, vol.8, issue.3, pp.631-657, 1998.
DOI : 10.1137/S1052623496307510

L. Huang, F. Yuan, and Q. Xu, Lifetime reliability-aware task allocation and scheduling for MPSoC platforms, Design Automation and Test in Europe Conference, DATE'09, pp.51-56, 2009.

X. Qin, W. Wang, and P. Mishra, TCEC: Temperature and Energy-Constrained Scheduling in Real-Time Multitasking Systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.31, issue.8, pp.1159-1168, 2012.
DOI : 10.1109/TCAD.2012.2190824

]. Y. Ma, T. Chantem, and R. P. Dick, Improving System-Level Lifetime Reliability of Multicore Soft Real-Time Systems, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.25, issue.6, pp.1895-1905, 2017.
DOI : 10.1109/TVLSI.2017.2669144

URL : https://doi.org/10.1109/tvlsi.2017.2669144

S. Shatz and J. Wang, Models and algorithms for reliability-oriented task-allocation in redundant distributed-computer systems, IEEE Transactions on Reliability, vol.38, issue.1, pp.16-26, 1989.
DOI : 10.1109/24.24570

D. Zhu, R. G. Melhem, and D. Mossé, The effects of energy management on reliability in real-time embedded systems, ICCAD'04, pp.35-40, 2004.

A. Colin and I. Puaut, Worst case execution time analysis for a processor with branch prediction, Real-Time Systems, vol.18, issue.2/3, pp.249-274, 2000.
DOI : 10.1023/A:1008149332687

H. Theiling, C. Ferdinand, and R. Wilhelm, Fast and precise WCET prediction by separate cache and path analyses, Real-Time Systems, vol.18, issue.2/3, pp.157-179, 2000.
DOI : 10.1023/A:1008141130870

S. Altmeyer, R. Davis, L. Indrusiak, C. Maiza, V. Nélis et al., A generic and compositional framework for multicore response time analysis, Proceedings of the 23rd International Conference on Real Time and Networks Systems, RTNS '15, pp.129-138, 2015.
DOI : 10.1109/ECRTS.2012.32

URL : https://hal.archives-ouvertes.fr/hal-01231700

H. Rihani, M. Moy, C. Maiza, R. Davis, and S. Altmeyer, Response Time Analysis of Synchronous Data Flow Programs on a Many-Core Processor, Proceedings of the 24th International Conference on Real-Time Networks and Systems, RTNS '16, pp.67-76, 2016.
DOI : 10.1109/RTAS.2016.7461361

URL : https://hal.archives-ouvertes.fr/hal-01406145

A. Avizienis, J. Laprie, B. Randell, and C. Landwehr, Basic concepts and taxonomy of dependable and secure computing, IEEE Transactions on Dependable and Secure Computing, vol.1, issue.1, pp.11-33, 2004.
DOI : 10.1109/TDSC.2004.2

H. Balaban, Some effects of redundancy on system reliability, National Symposium on Reliability and Quality Control, pp.385-402, 1960.

D. Rossi, M. Omana, C. Metra, and A. Paccagnella, Impact of Bias Temperature Instability on Soft Error Susceptibility, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.23, issue.4, pp.743-751, 2015.
DOI : 10.1109/TVLSI.2014.2320307

A. M. Fard, M. Ghasemi, and M. Kargahi, Response-time minimization in soft real-time systems with temperature-affected reliability constraint, 2015 CSI Symposium on Real-Time and Embedded Systems and Technologies (RTEST), 2015.
DOI : 10.1109/RTEST.2015.7369850

S. Hsueh, R. Huang, and C. Wen, TASSER: A temperature-aware statistical soft-error-rate analysis framework for combinational circuits, Fifteenth International Symposium on Quality Electronic Design, 2014.
DOI : 10.1109/ISQED.2014.6783372

J. Srinivasan, S. V. Adve, P. Bose, and J. A. Rivers, Exploiting structural duplication for lifetime reliability enhancement, ISCA, pp.520-531, 2005.

J. Knight and N. Leveson, An experimental evaluation of the assumption of independence in multi-version programming, IEEE Trans. Software Engin, vol.12, issue.1, pp.96-109, 1986.

P. Jensen and M. Bellmore, An Algorithm to Determine the Reliability of a Complex System, IEEE Transactions on Reliability, vol.18, issue.4, pp.169-174, 1969.
DOI : 10.1109/TR.1969.5216346

M. Moy, C. Helmstetter, T. Bouhadiba, and F. Maraninchi, Modeling power consumption and temperature in TLM models, Leibniz T. on Embedded Systems, vol.3, issue.1, pp.1-29, 2016.
URL : https://hal.archives-ouvertes.fr/hal-01339441

F. Kreith, CRC Handbook of Thermal Engineering. Mechanical and Aerospace Engineering Series, 1999.

T. Chantem, R. P. Dick, and X. S. Hu, Temperature-Aware Scheduling and Assignment for Hard Real-Time Applications on MPSoCs, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol.19, issue.10, pp.1884-1897, 2011.
DOI : 10.1109/TVLSI.2010.2058873

M. Garey and D. Johnson, Computers and Intractability, a Guide to the Theory of NP- Completeness, 1979.

A. S. Hartman, D. E. Thomas, and B. H. Meyer, A case for lifetime-aware task mapping in embedded chip multiprocessors, Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, CODES/ISSS '10, 2010.
DOI : 10.1145/1878961.1878987

Z. Wang, S. Ranka, and P. Mishra, Efficient task partitioning and scheduling for thermal management in multicore processors, ISQED'15, 2015.

Y. Kwok and I. Ahmad, Static scheduling algorithms for allocating directed task graphs to multiprocessors, ACM Computing Surveys, vol.31, issue.4, pp.406-471, 1999.
DOI : 10.1145/344588.344618

B. Mccarl and T. Spreen, Applied Mathematical Programming Using Algebraic Systems, College Station (TX), 2007.

, Task graphs for free, pp.2016-2026

, Embedded microprocessor benchmark consortium

I. , https://www-01.ibm.com/software/commerce/ optimization/cplex-optimizer, pp.2016-2026

R. N°-9196 and R. Centre-grenoble-?-rhône-alpes, Inovallée 655 avenue de l'Europe Montbonnot 38334 Saint Ismier Cedex Publisher Inria Domaine de Voluceau -Rocquencourt BP 105 -78153 Le Chesnay Cedex inria, pp.249-6399