A. B. Kahng, J. Lienig, I. L. Markov, and J. Hu, VLSI physical design: from graph partitioning to timing closure, 2011.

C. Alpert, Z. Li, G. Nam, C. N. Sze, N. Viswanathan et al., Placement: Hot or not?, Proc. of ISPD, pp.283-290, 2012.

J. Chen, Z. Zhu, W. Zhu, and Y. Chang, Toward optimal legalization for mixed-cell-height circuit designs, p.52, 2017.

C. Alpert, S. Karandikar, and Z. Li, Techniques for fast physical synthesis, Proceedings of the IEEE, vol.95, issue.3, pp.573-599, 2007.

D. Hill, Method and system for high speed detailed placement of cells within an integrated circuit design, vol.370, p.673, 2002.

P. Spindler, U. Schlichtmann, and F. M. Johannes, Abacus: fast legalization of standard cell circuits with minimal movement, 2008.

C. Wang, Y. Wu, J. Chen, Y. Chang, S. Kuo et al., An effective legalization algorithm for mixed-cell-height standard cells, Design Automation Conference (ASP-DAC, pp.450-455, 2017.

A. Kennings, N. K. Darav, and L. Behjat, Detailed placement accounting for technology constraints, 2014 22nd International Conference on, pp.1-6, 2014.

S. Popovych, H. Lai, C. Wang, Y. Li, W. Liu et al., Density-aware detailed placement with instant legalization, DAC Proceedings, pp.1-6, 2014.

N. Karimpour-darav, I. S. Bustany, A. Kennings, and L. Behjat, A fast, robust network flow-based standard-cell legalization method for minimizing maximum movement, 2017.

Y. Lee, T. Wu, and P. Chiang, A hierarchical bin-based legalizer for standard-cell designs with minimal disturbance, ASP-DAC, 2010 15th Asia and South Pacific, 2010.

H. Ren, D. Z. Pan, C. J. Alpert, P. G. Villarrubia, and G. Nam, Diffusion-based placement migration with application on legalization, IEEE TCAD Integr. Circuits Syst, vol.26, issue.12, pp.2158-2172, 2007.

U. Brenner, VLSI legalization with minimum perturbation by iterative augmentation," in DATE, pp.1385-1390, 2012.

C. Hung, P. Chou, and W. Mak, Mixed-cell-height standard cell placement legalization, ACM GLSVLSI 2017, 2017.

M. Kim, J. Hu, J. Li, and N. Viswanathan, ICCAD-2015 CAD contest in incremental timing-driven placement and benchmark suite

W. Chow, C. Pui, and E. F. Young, Legalization algorithm for multiple-row height standard cell design, 53th Annual Design Automation Conference, pp.1-6, 2016.

J. L. Bentley, Multidimensional binary search trees used for associative searching, Commun. ACM, vol.18, issue.9, pp.509-517, 1975.

H. Samet, The quadtree and related hierarchical data structures, ACM Computing Surveys (CSUR), vol.16, issue.2, pp.187-260, 1984.

N. K. Darav, I. S. Bustany, A. Kennings, and R. Mamidi, ICCAD-2017 CAD contest in multi-deck standard cell legalization and benchmarks, pp.867-871, 2017.

, Ophidian: an open source library for physical design research and teaching

L. Dagum and R. Menon, OpenMP: an industry standard API for shared-memory programming, IEEE computational science and engineering, 1998.

F. Broquedis, J. Clet-ortega, S. Moreaud, N. Furmento, B. Goglin et al., hwloc: A generic framework for managing hardware affinities in hpc applications, Parallel, Distributed and Network-Based Processing (PDP), pp.180-186, 2010.
URL : https://hal.archives-ouvertes.fr/inria-00429889